اطلاعیه

Collapse
No announcement yet.

لرتباط متلب با fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    لرتباط متلب با fpga

    سلام
    چطور می تونم برنامه ای که با متلب پیاده سازی شده رو با fpga اجرا کنم؟
    آیا برنامه دوباره باید با VHDL نوشته بشه؟

    حضرت محمد (ص):بدانید،کسی که نمی داند نباید از آموختن شرم کند،که قیمت هر کس به قدر معلو مات اوست.

    #2
    پاسخ : لرتباط متلب با fpga

    سلام عیدتون مبارک
    امکان ارتباط سیمولینک متلب با fpga از طریق نرم افزار ise شرکت xilinx هست حالا شما دقیقا پروژه ات چیه؟
    انما الحیوة عقیدة و جهاد

    دیدگاه


      #3
      پاسخ : لرتباط متلب با fpga

      سلام
      عید شما هم مبارک
      پروژه من پیاده سازی یک بلوک دیاگرام تا حدی پیچیده است که به وسیله m - file شبیه سازیش کردم
      حالا باید اونو با fpga هم پیاده سازی کنم حالا نمیدونم باید چه کار کنم؟
      با تشکر
      حضرت محمد (ص):بدانید،کسی که نمی داند نباید از آموختن شرم کند،که قیمت هر کس به قدر معلو مات اوست.

      دیدگاه


        #4
        پاسخ : لرتباط متلب با fpga

        این فایل pdf رو ببینید ان شاء ا... کارتون رو راه بندازه

        http://www.xilinx.com/support/docume...eldsp_user.pdf


        Xilinx AccelDSP همراه با Xilinx ISE Design Suite نصب میشه و کاربرد dsp دارد
        انما الحیوة عقیدة و جهاد

        دیدگاه


          #5
          پاسخ : لرتباط متلب با fpga

          خیلی ممنون
          اگر باز هم سوال داشتم می پرسم
          حضرت محمد (ص):بدانید،کسی که نمی داند نباید از آموختن شرم کند،که قیمت هر کس به قدر معلو مات اوست.

          دیدگاه


            #6
            پاسخ : لرتباط متلب با fpga

            سلام .چه طور میشه بین ise , متلب ارتباط برقرار کرد؟
            دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

            دیدگاه


              #7
              پاسخ : لرتباط متلب با fpga

              سلام به همگی

              برنامه ای که با متلب نوشته شده رو نمیشه تبدیل به VHDL کرد و روی FPGA ریخت.(البته تا اونجایی که من اطلاع دارم)

              تنها راهش اینه که توی محیط سیمولینک مدارتون رو طراحی کنید. تازه فقط میتونید از بلوک های آماده Xilinx که با نام system generator هست استفاده کنید. برای این کار هم باید نسخه متلب با نسخه ISE نصب شده هماهنگی داشته باشه. مثلا متلب 2011 با نسخه 13.4 به پایین همخوانی دارد. موقع نصب ISE خودش میگه که با این متلبی که رو سیستم نصب هست همخوانی دارد یا نه.

              دیدگاه


                #8
                پاسخ : لرتباط متلب با fpga

                سلام.من می خوام برنامه نوشته شده به زبان vhdl را به صورت یک دستور یا تابع در متلب به کار ببرم که ورودی کد vhdl از متلب باشد و نتایج بدست آمده از برنامه vhdl دوباره در متلب قابل سنتز باشد
                دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

                دیدگاه


                  #9
                  پاسخ : لرتباط متلب با fpga

                  سلام.من می خوام یک کنترلر رو (PID DIGITAL) با ******** طراحی کنم .اینم می دونم که باید از Systemبلوک های generator استفاده کنم.ولی هر قدر توی نت دنبال فایل نصب sysgen گشتم چیزی پیدا نکردم.فقط یک دونه بود که ورژن 8 بئد. برای این که به متلب و ورژن ISE من بخوره باید ورژن 9 و یا بالا تر باشه.اگر کسی داره لطفا upload کنه.

                  دیدگاه

                  لطفا صبر کنید...
                  X