اطلاعیه

Collapse
No announcement yet.

ضرب کننده 16*16 بیتی علامت دار

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ضرب کننده 16*16 بیتی علامت دار

    با سلام
    میخواستم اگه کسی بتونه در مورد برنامه ضرب کننده 16 *16 بیتی علامت دار در vhdl منو راهنمایی کنه
    با تشکر

    #2
    پاسخ : ضرب کننده 16*16 بیتی علامت دار

    خب بیاید رفتاری برنامه رو بنویسید
    کاری نداره که... ورودی ها رو integer تعریف کنید از 0 تا همون 2 به توان 16!! بعد هم راحت ضرب کنید..
    ساختاری سخته فک نکنم جواب بده

    دیدگاه

    لطفا صبر کنید...
    X