اطلاعیه

Collapse
No announcement yet.

کار با محیط شماتیکactive hdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    کار با محیط شماتیکactive hdl

    سلام
    میشه بگید اگه بخوام یه قطعه رو بدون اینکه برنامه اش رو نوشته باشم تو محیط شماتیک ازش استفاده کنم باید چکار کنم
    مثلا یک ای سی 7404

    #2
    پاسخ : کار با محیط شماتیکactive hdl

    چرا هیچ کس جواب منو نمیده :cry2: :cry: :cry2:

    دیدگاه


      #3
      پاسخ : کار با محیط شماتیکactive hdl

      سلام
      من با ISE عملا این کارو انجام دادام اگه به درد میخوره بگین توضیح بدام

      دیدگاه


        #4
        پاسخ : کار با محیط شماتیکactive hdl

        سلام
        بله با ISE هم یه کمی کار کردم
        لطفا بگید اگه بخوام مثلا یک ای سی 74373(dlatch) و یک سنسور رو فراخوانی کنم چکار باید کنم
        من غیر از گیتای and,orو فلیپ فلاپ و ماکس واینجور قطعات دیگه چیز خاصی نمیبینم
        ممنون میشم که راهنماییم کنید

        دیدگاه


          #5
          پاسخ : کار با محیط شماتیکactive hdl

          همه گیتهای منطقی تو قسمت Categories هست



          منظورتون از سنسور چیه؟

          دیدگاه


            #6
            پاسخ : کار با محیط شماتیکactive hdl

            گیتای منطقی مثل and و or هستند ولی قطعات دیگه مثل ای سی 74373 ویا ای سی های دیگه رو پیدا نمیکنم

            برای طراحی یه دزدگیر میخوام ازش استفاده کنم

            دیدگاه


              #7
              پاسخ : کار با محیط شماتیکactive hdl

              اگه منظورتون اینکه قطعه 74373 دقیقا اونجا باشه نه نیست. تو محیط شماتیک شماره قطعه مهم نیست بلکه عملکرد قطعه دارای اهمیت هست و شما به عنوان برنامه نویس با همین قطعات باید بتونید اون آیسی رو که لازم دارید طراحی کنید. 74373 یعنی 8 تا فلیپ فلاپ D

              دیدگاه


                #8
                پاسخ : کار با محیط شماتیکactive hdl

                سلام
                ساختار داخلی این ای سی رو تو سایت زیر پیدا کردم رسمش کردم ولی نمیدونم گیت های not که به پایه یoeوصل شده چرا به کناره ی مثلث not وصل شده
                میشه یه توضیح بدید که اصلا قضیه اش چیه
                [ftp][/ftp]www.nxp.com/documents/data_sheet/74HC_HCT373.pdf

                دیدگاه


                  #9
                  پاسخ : کار با محیط شماتیکactive hdl

                  یه سوال دیگه
                  اگه بخوام به مدارم چند تا کلید و LED و speaker اضافه کنم باید چکار کنم

                  دیدگاه


                    #10
                    پاسخ : کار با محیط شماتیکactive hdl

                    نوشته اصلی توسط r2d
                    یه سوال دیگه
                    اگه بخوام به مدارم چند تا کلید و LED و speaker اضافه کنم باید چکار کنم


                    پیشنهاد میکنم با VHDL ادامه بدین مثلا برای 74hc373 خیلی راحت متونید با چند خط کد پیاداش کنید

                    کد:
                    library IEEE;
                    use IEEE.STD_LOGIC_1164.ALL;
                    
                    
                    entity hc373 is
                      Port ( DATA_IN : in STD_LOGIC_VECTOR (7 downto 0);
                          DATA_OUT : out STD_LOGIC_VECTOR (7 downto 0);
                          OE : in STD_LOGIC;
                          LE : in STD_LOGIC);
                    end hc373;
                    
                    
                    architecture Behavioral of hc373 is
                    
                    begin
                    
                    
                    PROCESS(OE,LE,DATA_IN)
                    BEGIN
                    
                    	IF OE='1' THEN 
                    	
                    		DATA_OUT<="ZZZZZZZZ";
                    		
                    	ELSIF LE='1' THEN
                    		
                    		DATA_OUT<=DATA_IN;
                    		
                    	END IF;
                    	
                    END PROCESS;
                    
                    
                    end Behavioral;

                    دیدگاه


                      #11
                      پاسخ : کار با محیط شماتیکactive hdl

                      سلام
                      اگه بخوام کد vhdl مداری که تو محیط شماتیک رسمش کردم رو ببینم بید چکار کنم

                      دیدگاه


                        #12
                        پاسخ : کار با محیط شماتیکactive hdl




                        با DXP هم میشه این کارو کرد یه سرج میکنام نتیجه رو می گم

                        دیدگاه

                        لطفا صبر کنید...
                        X