اطلاعیه

Collapse
No announcement yet.

Delay Time

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    Delay Time

    یک سوال دارم کلاک هسته یک fpga که میگن بین 24 تا 280 مگا هرتز است یعنی چه ؟ مگه fpga حالت آرایه ای نداره ؟ پس کلاک دقیقا چه کاری انجام میده ؟
    Delay Tim مثلا 5 نانو ثانیه تاخیر انتشار بین دو گیت سری است یا برای کل مجموعه است .
    فرض کنید ما در fpga به تعداد 5 تا تقسیم کننده - یا فلیپ فلاپ - پشت سر هم قرار دادیم . Delay Tim این مجموعه چه فرق داره با دیلی تام یک مجموعه که 50 تا تقسیم کننده پشت سر هم قرار داده باشیم ؟
    تنها موردي كه همه آدما يقين دارن خدا در حقش زیاد لطف کرده
    داشتن عقله زيادتر از دیگر آدم ها است

    #2
    پاسخ : Delay Time

    یک کتاب خوب پیدا کردم


    http://www.techno-electro.com/60-%D8%AF%D8%A7%D9%86%D9%84%D9%88%D8%AF-%DA%A9%D8%AA%D8%A7%D8%A8-%D8%A2%D9%85%D9%88%D8%B2%D8%B4-FPGA.html

    1391/12/28

    اصلا وقتشو نداشتم ولی مجبور شدم برم سراغش. انگار مجبورم یاد بگیرم این fpga رو . تا ببینیم اولین برنامه ام کی مینویسم مسلاما چشمک زن قابل برنامه ریزی با pc - کیبرد و lcd , .. خواهد بود . برا arm که تقریبا 3 سال طول کشید
    خدا کنه این یکی یکی دو ماه بیشتر طول نکشه چون دیگه دارم پیر میشم
    تنها موردي كه همه آدما يقين دارن خدا در حقش زیاد لطف کرده
    داشتن عقله زيادتر از دیگر آدم ها است

    دیدگاه


      #3
      پاسخ : Delay Time


      سلام
      برا شروع پیشنهاد من تهیه یا ساخت یه برد آموزشی هست.
      http://www.ne-ir.com/DevelopmentBoards/NSK105.aspx?lang=Fa
      و تهیه کتاب آقای سید رضی

      به هیچ عنوان نباید fpga رو با میکرو مقایسه کرد چون تنها نتیجه ای که خواهید گرفت بوجود آمدن سوالات دیگه و گنگتر شدن کار هست.
      یه مثال کچولو در نوع عملکرد. فرض کنید میخواهیم یه برنامه بنویسیم که دو تا ورودی چند بیتی رو باهم مقایسه کنه. برای میکرو خواهیم داشت

      کد:
      if pina=pinb then
        portc=1
      elseif pina>pinb then 
        portc=2
      elseif pina>pinb then 
        portc=4
      end if
      این کد وقتی توی میکرو انجام میشه نیاز به چندین کلاک خواهد داشت
      ولی در fpga یه مدار شبیه به این بوجود میاد که اصلا به کلاک هم نیازی نداره

      دیدگاه


        #4
        پاسخ : Delay Time

        نوشته اصلی توسط aliila
        یک سوال دارم کلاک هسته یک fpga که میگن بین 24 تا 280 مگا هرتز است یعنی چه ؟ مگه fpga حالت آرایه ای نداره ؟ پس کلاک دقیقا چه کاری انجام میده ؟
        Delay Tim مثلا 5 نانو ثانیه تاخیر انتشار بین دو گیت سری است یا برای کل مجموعه است .
        فرض کنید ما در fpga به تعداد 5 تا تقسیم کننده - یا فلیپ فلاپ - پشت سر هم قرار دادیم . Delay Tim این مجموعه چه فرق داره با دیلی تام یک مجموعه که 50 تا تقسیم کننده پشت سر هم قرار داده باشیم ؟

        یه مثال دیگه در مورد استفاده از کلاک
        حتما تا حالا یه 10 میلیون بار از دستور زیر استفاده کردید :rolleyes:
        کد:
        select case var
        
        	case 2: ....
        
        	case 3: ....
        
        	case k: ....
               
        	case else:
        
        end select
        این دستور دقیقا در یک fpga یا cpld قابل اجرا هست. به این صورت که:
        1- شما یه متغییر تعریف میکنی که با لبه مقدار اون زیاد بشه
        2- حالا fpga مقدار متغییر رو مقایسه میکنه و case اون رو اجرا میکنه (در حقیقت میشه یه پروگرم کانتر)

        دیدگاه

        لطفا صبر کنید...
        X