اطلاعیه

Collapse
No announcement yet.

خواندن مقدارهای یک فایل txt در vhdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    خواندن مقدارهای یک فایل txt در vhdl

    خواندن مقدارهای یک فایل txt در vhdl رو باید از طریق فایل coe خواند که ابتدای آن چند کامنت دارد. نوشتن این کامنت ها رو میخاستم بدونم به چه صورته؟ ممنون میشم کمکم کنین؟؟؟؟؟؟؟؟؟؟؟؟؟

    #2
    پاسخ : خواندن مقدارهای یک فایل txt در vhdl

    با سلام

    این فایل فکر نمی کنم مستقیما مربوط به vhdl بشه بلکه برای مقدار دهی اولیه core ها توی core gen هست. به لینک زیر یه سر بزنید با مثال نحوه تنظیمات فایل coe رو توضیح داده:
    http://www.xilinx.com/itp/xilinx10/isehelp/cgn_r_coe_file_syntax.htm

    دیدگاه

    لطفا صبر کنید...
    X