اطلاعیه

Collapse
No announcement yet.

ایجادارتباط سریال با FPGA

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ایجادارتباط سریال با FPGA

    من میخوام یه ارتباط سریال با یک fpga
    بسازم اینطور که 8بیت داده پارالل رو بهش بدم انها رو سریال بیرون بده و بیت های سریال رو دوباره وارد کنم
    و از یک بانک دیگه 8 بیت پارالل خروجی داشته باشم.
    در ضمن پریتی وبیت شروع وپایان ومیزان باود هم مهم اند
    این هم برنامه ولی مشکل در تعریف باود و پریتی دارم و اینکه این دو برنامه رو در قالب یک برنامه بنویسم
    ممنون میشم کمکم کنید.البته زبان مورد نظر هم vhdl
    فایل برنامه و شماتیک رو هم میذارم.http://www.4shared.com/dir/6996402/99beba2c/sharing.html

    #2
    پاسخ : ایجادارتباط سریال با FPGA

    سلام.
    من برنامه S2P رو چک کردم. البته توی Active-HDL. دوتا اشکال داشت.

    1- نوع سیگنال rxbuf باید از نوع STD_LOGIC_VECTOR تعریف بشه که شما از نوع bit_vector تعریف کردی.

    2- رنج j رو هم بین 1و 10 یا 1 و 11 تعیین کن.

    من با این تغییرات شبیه سازی کردم، مشکلی ندیدم. برنامه رو هم اینجوری نوشتم:



    library IEEE;
    use IEEE.std_logic_1164.all;

    entity VHDL is
    port (
    clk: in STD_LOGIC;
    rst: in STD_LOGIC;
    se_in: in STD_LOGIC;
    par_out: out STD_LOGIC_VECTOR (7 downto 0)
    );
    end VHDL;



    architecture VHDL of VHDL is


    signal rxbuf:STD_LOGIC_VECTOR(7 downto 0);

    begin
    process(clk)
    variable delay2: integer range 0 to 10;
    variable j: integer range 1 to 10;
    variable startbit: integer range 0 to 10;


    begin

    if(clk='1&#039then
    delay2:=delay2+1;
    if(rst='1&#039then
    j:=1;
    delay2:=0;
    end if;
    if(se_in='0' and j=0)then
    startbit:=startbit+1;
    if(startbit=5)then
    j:=1;
    end if;
    end if;
    if(delay2=5)then
    delay2:=0;
    if(j=1 or j<9)then
    rxbuf(j-1)<=se_in; --second
    j:=j+1; --first
    end if;
    if(j=9)then
    par_out<=rxbuf;
    end if;
    end if;
    if(delay2=6)then
    delay2:=0;
    end if;
    if(startbit=10)then
    startbit:=0;
    end if;
    end if;
    end process;
    end VHDL;

    دیدگاه


      #3
      پاسخ : ایجادارتباط سریال با FPGA

      افشین جان منون ولی اگر میتونی پریتی و میزان باود رو هم بنویسی خیلی ممنون میشم

      دیدگاه


        #4
        ایجادارتباط سریال با FPGA

        با سلام و تشکر
        چرا فایلش موجود نیست لازم دارم :sad:

        دیدگاه

        لطفا صبر کنید...
        X