اطلاعیه

Collapse
No announcement yet.

علت این ارور>>>>Generating a Black Box for component

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    علت این ارور>>>>Generating a Black Box for component

    سلام
    این مدار منه


    و اینم کد های پروژه منه


    کد:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    
    
    entity VHDL3 is
      Port(IN1,IN2,IN3:in std_logic;OUT1:out std_logic);
    end VHDL3;
    
    
    architecture Behavioral of VHDL3 is
    		 
    ------------------------------------------component
    component NOT1_NOT2 is
    	port(a:in std_logic; b:out std_logic);
    end component NOT1_NOT2;
    
    component AND1_AND2 is
    	port(c,d:in std_logic; e:out std_logic);
    end component AND1_AND2;
    
    component OR1 is
    	port(f,g:in std_logic; h:out std_logic);
    end component OR1;
    ------------------------------------------component
    
    
    signal sig1,sig2,sig3,sig4:std_logic;
    
    begin
    
    U1: NOT1_NOT2 port map(a=>in3,b=>sig1); 	
    U2: NOT1_NOT2 port map(a=>in3,b=>sig2); 		
    U3: AND1_AND2 port map(c=>in1,d=>sig1,e=>sig3); 		
    U4: AND1_AND2 port map(c=>in2,d=>sig2,e=>sig4); 	
    U5: OR1 port map(f=>sig3,g=>sig4,h=>out1); 	 
    
    end Behavioral;

    و اینم وارنینگ هایی که میده....علتش چیه؟؟؟؟




    یه سوال دیگه....تو بعضی مثال ها که من تو کتاب ها میبینم برا کامپوننت IS نمیزارن .... علتش چیه؟؟؟؟؟
    بزاری و نزاری چه فرقی داره؟؟؟؟
    اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

    اگه دوس دارید سریع به جواب برسید :
    1) قبل از پرسیدن سوال، بسرچید.
    2) سوالاتون رو با جزئیات کامل مطرح کنید.

    #2
    پاسخ : علت این ارور>>>>Generating a Black Box for component

    سلام
    نکته 1: برنامه شما یک برنامه ساده است که اصلا نیازی به کامپوننت نداره و به ارحتی می توانید از عملگرهای not و and و ... استفاده کنید.

    نکته 2: در صورتی که بخواهید از کامپوننت استفاده کنید باید زیر برنامه مربوط به هر کامپوننت رو هم بنویسید. برای مثال برای کامپوننت NOT1_NOT2 باید زیر برنامه ای محتوی
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;


    entity NOT1_NOT2 is
    Port(a:in std_logic;
    but std_logic);
    end NOT1_NOT2;

    architecture Behavioral of NOT1_NOT2 is

    begin

    b <= not a;

    end Behavioral;

    رو بنویسید. اگر دقت کنید توی منوی Design و یزر برنامه اصلی هم علامت سوالهایی ایجاد شده و این بخاطر اینه که محتوای کامپوننت شما مشخص نیست و کامپایلر اونهارو به صورت black box در نظر میگیره.

    نکته 3: پیشنهاد میکنم برای هر موضوع ساده ای تاپیک ایجاد نکنید و از منابع موجود در اینترنت و یا کتابهایی که در اختیار دارید کمک بگیرید. مطمئنا همون منبعی که شما رو با کامپوننت آشنا کرده در مورد نوشتن زیر برنامه مربوط به کامپوننت هم توضیح داده.



    در مورد سوال بعدی هم تا جایی که من میدونم نوشتن کامپوننت به is نیاز نداره و اگه به help خود نرم افزار هم مراجعه کنید صادق این مطلب است.

    دیدگاه


      #3
      پاسخ : علت این ارور>>>>Generating a Black Box for component

      یه سوال...
      وقتی رو New Source کلیک کردم کدوم گزینه رو انتخاب کنم؟؟؟؟
      VHDL Module یا VHDL Test Bench ؟؟؟؟؟
      این دو تا چه فرقی با هم دارن اصلا؟؟؟
      اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

      اگه دوس دارید سریع به جواب برسید :
      1) قبل از پرسیدن سوال، بسرچید.
      2) سوالاتون رو با جزئیات کامل مطرح کنید.

      دیدگاه


        #4
        پاسخ : علت این ارور>>>>Generating a Black Box for component

        نوشته اصلی توسط md3848
        یه سوال...
        وقتی رو New Source کلیک کردم کدوم گزینه رو انتخاب کنم؟؟؟؟
        VHDL Module یا VHDL Test Bench ؟؟؟؟؟
        این دو تا چه فرقی با هم دارن اصلا؟؟؟
        VHDL Module برای نوشتن برنامه اصلی قابل سنتز که روی FPGA پیاده میشه استفاده میشه و VHDL Test Bench برای شبیه سازی به کار میره. کد تست نیازی نیست که قابل سنتز باشه. در این کد دستورهای تأخیر و تأخیر شرطی، متغیرهای از نوع حقیقی و کتابخانه TextIOاستفاده کنید.
        لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
        لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
        با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
        اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
        يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

        دیدگاه


          #5
          پاسخ : علت این ارور>>>>Generating a Black Box for component

          الان فرق VHDL Test Bench و test bench waveform چیه؟؟؟؟؟
          تو یه کتاب دیدم....که از دومی برا شبیه سازی استفاده کرد....
          اون طوری که فهمیدم یه شبیه ساز مودسیم داریم و یه شبیه ساز که داخل ise هستش........
          اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

          اگه دوس دارید سریع به جواب برسید :
          1) قبل از پرسیدن سوال، بسرچید.
          2) سوالاتون رو با جزئیات کامل مطرح کنید.

          دیدگاه


            #6
            پاسخ : علت این ارور>>>>Generating a Black Box for component

            test bench waveform رو جایی ندیدم.
            شبیه سازهای مختلفی وجود داره ولی اون چیزی که رایجه یکی شبیه ساز داخلی ISE به اسم ISim و یکی هم Mentor Graphics ModelSim هست. ModelSim قوی تره ولی کار کردن با ISim راحت تره.
            لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
            لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
            با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
            اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
            يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

            دیدگاه


              #7
              پاسخ : علت این ارور>>>>Generating a Black Box for component

              توی Active-HDL میشه به جای نوشتن testbench اومد و شکل موج دلخواه رو به ورودیا داد و عملکرد برنامه رو دید. شاید منظور همین باشه. توی همون modelsim هم اینکارو میشه کرد.
              ---------
              .
              .
              ...........

              دیدگاه


                #8
                پاسخ : علت این ارور>>>>Generating a Black Box for component

                نوشته اصلی توسط هـادی
                test bench waveform رو جایی ندیدم.
                شبیه سازهای مختلفی وجود داره ولی اون چیزی که رایجه یکی شبیه ساز داخلی ISE به اسم ISim و یکی هم Mentor Graphics ModelSim هست. ModelSim قوی تره ولی کار کردن با ISim راحت تره.
                من شبیه سازی ها رو با test bench waveform انجام میدمم.......با مودسیم نمیتونم...........کتابی در زمینه مودسیم تو تهرون هستش؟
                اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

                اگه دوس دارید سریع به جواب برسید :
                1) قبل از پرسیدن سوال، بسرچید.
                2) سوالاتون رو با جزئیات کامل مطرح کنید.

                دیدگاه


                  #9
                  پاسخ : علت این ارور>>>>Generating a Black Box for component

                  برای شبیه سازی تو modelsim باید اول تست بنچ رو بنویسی بعد از منوی بالا simulate رو انتخاب کنی --> start simulation --> از داخل لایبرری work تست بنچ مورد نظر رو پیدا میکنی و روش دابل کلیک کن و بعد پنجره جدید برات باز میشه روی ماژول تست بنچت کلیک راست کن و از گزینه add ، گزینه add to signal and wave رو انتخاب کن و بهش مقدار بده و run رو بزن

                  در ظاهر شاید یخورده پیچیدس ولی در عمل سادس......

                  دیدگاه


                    #10
                    پاسخ : علت این ارور>>>>Generating a Black Box for component

                    نوشته اصلی توسط md3848
                    الان فرق VHDL Test Bench و test bench waveform چیه؟؟؟؟؟
                    تو یه کتاب دیدم....که از دومی برا شبیه سازی استفاده کرد....
                    اون طوری که فهمیدم یه شبیه ساز مودسیم داریم و یه شبیه ساز که داخل ise هستش........
                    توی ورژن های قدیمی xilinx ise ی گزینه به نام test bench waveform وجود داشت که با استفاده از اون میتونستی بدون نوشتن کد VHDL شکل موج دلخواه خودتو به ورودیا بدی و خروجی رو ببنی اما تو ورژن های جدید حذف شده،هرجای شکل موج ورودی که کلیک میکردی مقدارش عوض میشد.
                    VHDL Test Bench رو هم دوستان توضیح دادن.
                    MRM

                    دیدگاه

                    لطفا صبر کنید...
                    X