اطلاعیه

Collapse
No announcement yet.

خروجی و ورودی از نوع integer ?

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    خروجی و ورودی از نوع integer ?

    سلام و خسته نباشید
    امروز با یه سوال دیگه مهمون خونه های گرم شما هستیم و ممنون میشم اگه تا پایان این تاپیک مارو همراهی کنید
    آقا بدون حاشیه سری میرم سر اصل مطلب
    من یه برنامه دیدم که تو قسمت entity ش چنتا از ورودی و خروجی ها رو از نوع integer تعریف کرده بود !!!!!! :eek: :eek: :eek: میشه چنین کاری کرد مگه ؟؟ !!!
    مگه ورودی و خروجی یه ic یا component که میسازیم تو fpga نباید حتما از نوع std_logic باشه ؟
    میشه یه راهنمایی کنید لطفا ؟ مگه نباید با دستور conv_std_logic_vector اول integer رو به std_logic تبدیل کرد بعد به خروجی وصل کنیم ؟

    ممنون از پاسخ گو
    *****براي آدمي بهتر است که اصلا به دنيا نيايد تا اينکه بيايدو هيچ تاثيري نگذارد *****
    ناپلئون بناپارت

    #2
    پاسخ : خروجی و ورودی از نوع integer ?

    دوستان اگه جواب سوال رو میدونید لطفا پاسخ بدید
    الان من دچاره دوگانگی شدم

    اضافه شده در تاریخ :
    منظورم کد زیره
    کد:
    entity breakup is
      Port ( num_in  : in integer range 0 to 50000000;
          en_in : in STD_LOGIC;
    			 clk  : in STD_LOGIC;
          num1_out : out integer range 0 to 9:=0;
          num2_out : out integer range 0 to 9:=0;
          num3_out : out integer range 0 to 9:=0;
          num4_out : out integer range 0 to 9:=0;
          Hz    : out STD_LOGIC:='0';
          KHz   : out STD_LOGIC:='0';
          MHz   : out STD_LOGIC:='0');
    end breakup;
    میگم چرا num_in از نوع integer تعریف کرده مگه نباید std_logic_vector باشه ؟
    *****براي آدمي بهتر است که اصلا به دنيا نيايد تا اينکه بيايدو هيچ تاثيري نگذارد *****
    ناپلئون بناپارت

    دیدگاه


      #3
      پاسخ : خروجی و ورودی از نوع integer ?

      سلام
      نوع سیگنال های ورودی و خروجی لزوما نباید std_logic_vector باشه و میشه اونها رو از نوع integer تعریف کرد. کامپایلر موقعی که میخواد برنامه شمارو روی fpga پیاده سازی کنه میاد بسته به رنجی که تعریف کردید سیگنالهاتون رو تبدیل به نوع بیتی میکنه. برای مثال اگه رنج عدد صحیح رو 0 تا 9 تعریف کنید، توی fpga چهار بیت به سیگنال شما اختصاص داده میشه.

      دیدگاه


        #4
        پاسخ : خروجی و ورودی از نوع integer ?

        خیلی ممنون از جوابتون
        فقط یه سوال؟
        به فرض مثال که ما integer تعریف کردیم تویه شبیه سازی هم باید به ورودی ها عددی integer بدیم یا binary ؟
        و توی محیط شبیه سازی خروجی رو بر حسب همون integer نمایش میده یا بر حسب bin؟
        بازم ممنون
        *****براي آدمي بهتر است که اصلا به دنيا نيايد تا اينکه بيايدو هيچ تاثيري نگذارد *****
        ناپلئون بناپارت

        دیدگاه


          #5
          پاسخ : خروجی و ورودی از نوع integer ?

          برنامه نویسش ناشی بوده.
          IEEE توصیه کرده ورودی ها از نوع بیتی باشند.
          مهم نيست که کجايي هستي، چه رنگي هستي، به چه زبوني حرف مي زني. مهم اينه که انسان باشي.
          http://baranelec.mihanblog.com/
          آینده ای خواهم ساخت که گذشته ام در برابرش زانو بزند...

          دیدگاه


            #6
            پاسخ : خروجی و ورودی از نوع integer ?

            اگر سیگنال رو integer تعریف کردید توی testbench هم باید مقدار رو integer بدید. اما توی محیط شبیه سازی یعنی ISim عدد به صورت باینری نمایش داده می شود.
            اما در صورتی که سیگنال ها رو از نوع std_logic_vector تعریف کنید توی محیط شبیه سازی isim می تونید اعداد رو به هر فرمتی که میخواین نمایش بدین. برای این کار روی سیگنال مورد نظر (در محیط ISim) کلیک راست کرده و گزینه radix رو انتخاب کنید. با انتخاب این گزینه لیستی از فرمتهای موجود برای نمایش اعداد باز می شود. شما میتونید فرمت مورد نظرتون رو از این لیست انتخاب کنید.

            دیدگاه


              #7
              پاسخ : خروجی و ورودی از نوع integer ?

              خیلی ممنون
              *****براي آدمي بهتر است که اصلا به دنيا نيايد تا اينکه بيايدو هيچ تاثيري نگذارد *****
              ناپلئون بناپارت

              دیدگاه

              لطفا صبر کنید...
              X