اطلاعیه

Collapse
No announcement yet.

VHDL : تعریف یک FulAdder یک بیتی و استفاده از آن برای یک جمع چهاربیتی

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    VHDL : تعریف یک FulAdder یک بیتی و استفاده از آن برای یک جمع چهاربیتی

    سلام
    من می خوام یک جمع کننده ی چهاربیتی با چهار Full-Adder یک بیتی بسازم
    Full-Adder ها رو به عنوان Entity تعریف کردم اما نمی دونم چطور باید مدار اصلی رو با چهار Full-Adder به عنوان زیر مدار بسازم
    باید هر Full-Adder رو به صورت Component تعریف کنم ؟
    نمی خوام یک Full-Adder چهاربیتی داشته باشم ! ، می خوام از چهار Full-Adder یک بیتی استفاده کنم
    ...
    پیشاپیش ممنون

    #2
    پاسخ : VHDL : تعریف یک FulAdder یک بیتی و استفاده از آن برای یک جمع چهاربیتی

    مشکل حل شد !

    دیدگاه

    لطفا صبر کنید...
    X