اطلاعیه

Collapse
No announcement yet.

FPGA clock pulse

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    FPGA clock pulse

    سلام
    یه fpgaبا فرکانس حول و حوش 100MHz می خوام.
    حداکثر فرکانس کاری ep2c24q240c8 ]rni ?
    ممنون میشم اگه کمکم کنید

    #2
    پاسخ : FPGA clock pulse

    نوشته اصلی توسط elecomp.boy
    سلام
    یه fpgaبا فرکانس حول و حوش 100MHz می خوام.
    حداکثر فرکانس کاری ep2c24q240c8 ]rni ?
    ممنون میشم اگه کمکم کنید
    با سلام

    برای چه کاریبه این فرکانس نیاز دارید ؟

    اگه اشتباه نکنم ، تا اونجایی که یادمه ، بعضی از fpga های موجود در بازار ، طبق دیتاشیت ، تا حدود 150mhz می توانند ورودی کلاک داشته باشند .نظــیر altera و xilinx

    دیدگاه


      #3
      پاسخ : FPGA clock pulse

      با عرض سلام
      میخوام یه کانتر خیلی دقیق با سرعت حدود 120MHz بسازم
      میشه یه کانتر خارجی رو به fpga وصل کرد و اونو خوند ( یه پهنای پالس خیلی کم (نانو) را میخوام خیلی دقیق اندازه بگیرم)

      دیدگاه


        #4
        پاسخ : FPGA clock pulse

        نوشته اصلی توسط elecomp.boy
        با عرض سلام
        میخوام یه کانتر خیلی دقیق با سرعت حدود 120MHz بسازم
        میشه یه کانتر خارجی رو به fpga وصل کرد و اونو خوند ( یه پهنای پالس خیلی کم (نانو) را میخوام خیلی دقیق اندازه بگیرم)
        با سلام

        شما میتونید یه شمارنده را طراحی و در داخل fpga قرار داده ، سپس ، پالس ورودی رو پس از فیلتر نمودن به ورودی این شمارنده وصل و بعد توسط یک پردازنده و یا میکرو کنترولر ، در واحد های زمانی مورد نظر ، رجیستر را : لچ : و مقدار آن را خوانده و مورد پردازش قرار بدهید و یا با طراحی یک مدار نمایش دهنده در خروجی شمارنده ، در داخل fpga ، بصورت real time فرکانس ورودی مورد نظر رو نمایش بدید

        دیدگاه


          #5
          پاسخ : FPGA clock pulse

          از بازار ایران خبر ندارم ولی چیزی نیست که نتونید بدستش بیارین.....
          راجع به فرکانس توی دیتاشیت خود FPGA گفته ۲۵۰ مگ رو راحت میتونین پیدا کنین...اگه قیمت مهم نباشه فرکانسهای خیلی بالا هم پیدا میشن ....شما باید به ماکزیمم فرکانسی که توی دیتاشیت گفته و احتمالا PLL داخلی FPGA اینو خیلی راحت فراهم میکنه.........حتی فرکانسهای بالاتر هم شاید بشه ولی دیگه هارمونیک و جیتر و... داره
          کلاک خارجی هم میتونید استفاده کنید.....مثلا یه PLL خارجی
          اسیلاتورهای قابل برنامه ریزی هم پیدا میشه قیمت بالائی هم ندارن ولی فرکانس دقیقی رو میدن....

          اما باید به یه نکته توجه کنید اونم اینکه درسته که میتونین کلاک خارجی بدین ولی آیا Logic Delay مربوط به خود چیپ مشکلی پیش نمیاره؟؟؟ کلا هر چقدر کلاک رو بالا ببرید باید نسبت به تاخیر (به خصوص تاخیر نهائی) مواظب باشین وگرنه خروجی اشتباه میگیرین

          دیدگاه


            #6
            پاسخ : FPGA clock pulse

            با عرض سلام
            آقا دقت کانتر 50مگ باید باشه.با این حال فکر کنم راحت تر بشه کدشو نوشت.
            لطفا راهنمایی کنید

            دیدگاه


              #7
              پاسخ : FPGA clock pulse

              مسئله صرف کد نوشتن نیست.
              شما بهتره کدی که میتونین بنویسین و بعد شبیه سازی کنین ببینین خروچی درست میگیرین
              از دستورات غیر قابل سنتز که تاخیر رو شبیه سازی میکنن میتونین استفاده کنین.
              اینکه گفتم باید مواظب الگوریتم مورد استفاده تون باشین به این معنی نیست که کار خیلی سختیه....فقط شما آخر سر واظب باش که این تاخیرها به FPGA ی که استفاده میکنی بخوره...که اغلب هم میخوره....پس مشکلی ازین جهت نداری
              ضمنا حیلی از برنامه ها این کدها رو دارن شاید احتیاج به طراحی هم نداشته باشین.
              موفق باشین

              دیدگاه


                #8
                پاسخ : FPGA clock pulse

                بسیار ممنون
                درباره اتصال lcdبه fpgaاطلاعاتی ندارین
                همچنین دو کریستال خارجی داریم و می خواهیم که اونا رو به 64 یا 128 تو fpga تقسیم کنیم

                دیدگاه


                  #9
                  پاسخ : FPGA clock pulse

                  نوشته اصلی توسط elecomp.boy
                  درباره اتصال lcdبه fpgaاطلاعاتی ندارین
                  سلام دوست عزیز
                  اینجا رو ببینید:
                  http://www.fpga4fun.com/TextLCDmodule.html

                  دیدگاه


                    #10
                    پاسخ : FPGA clock pulse

                    بسیار ممنون مهندس جان
                    یه نیگاش میندازم ببینم چی میشه

                    دیدگاه


                      #11
                      پاسخ : FPGA clock pulse

                      ما که چیزی نفهمیدیم
                      لطفا اگه میشه یکی که کار کرده کمک کنه...............نیاز دارم
                      ممنون میشم

                      دیدگاه


                        #12
                        پاسخ : FPGA clock pulse

                        سلام دوست عزیز
                        شما می تونید از Altium استفاده کنید
                        خداوند جهاد را برای سرافرازی اسلام واجب کرد.
                        حضرت فاطمه (س)

                        دیدگاه


                          #13
                          پاسخ : FPGA clock pulse

                          میشه واضحتر توضیح بدین ؟
                          متوجه نشدم

                          دیدگاه


                            #14
                            پاسخ : FPGA clock pulse

                            از اوسیلاتور خارجی استفاده کن در حد 60 بعد با clock-manager هایی که هر vendor بهت میده میتونی کلاکش رو 2 تا 4 برابر کنی! تو ISE سمپل هاش و نیز کدهاش موجوده.
                            رو بورد مدار چاپی ات خیلی مواظب باش،100 مگ شوخی نیست.

                            دیدگاه

                            لطفا صبر کنید...
                            X