با سلام
دوستان این برنامه سینتیسایز نمیشه میشه بگید مشکل از چیه وچرا ؟
415Synthesis failed
من با ise کار می کنم و اینا با cpld نوشتم ارور 415 میده
من اگر بخوام از دوتا کلاک تو برنامه استفاده کنم ویه متغییر را تغییر بدم چه کنم
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity contm is
port(
CLK1: in STD_LOGIC;
CLK2: in STD_LOGIC;
RESET: in STD_LOGIC;
chap: out STD_LOGIC;
RasT: out STD_LOGIC;
DIR: in STD_LOGIC;
-- DIN: in STD_LOGIC_VECTOR(3 downto 0);
COUNT2: inout STD_LOGIC_VECTOR(3 downto 0));
end contm;
architecture Behavioral of contm is
begin
process (CLK2, RESET)
begin
if RESET='0' then
COUNT2 <= "0000";
elsif CLK2='1' and CLK2'event then
if DIR='1' then
COUNT2 <= COUNT2 + 1;
else
COUNT2 <= COUNT2 - 1;
end if;
end if;
end process;
process (CLK1, RESET)
begin
if RESET='0' then
COUNT2 <= "0000";
elsif CLK1='1' and CLK1'event then
COUNT2 <= COUNT2 - 1;
if count2="0000" then
rast<='1';
else
rast<='0';
end if;
end if;
end process;
end Behavioral;
دوستان این برنامه سینتیسایز نمیشه میشه بگید مشکل از چیه وچرا ؟
415Synthesis failed
من با ise کار می کنم و اینا با cpld نوشتم ارور 415 میده
من اگر بخوام از دوتا کلاک تو برنامه استفاده کنم ویه متغییر را تغییر بدم چه کنم
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity contm is
port(
CLK1: in STD_LOGIC;
CLK2: in STD_LOGIC;
RESET: in STD_LOGIC;
chap: out STD_LOGIC;
RasT: out STD_LOGIC;
DIR: in STD_LOGIC;
-- DIN: in STD_LOGIC_VECTOR(3 downto 0);
COUNT2: inout STD_LOGIC_VECTOR(3 downto 0));
end contm;
architecture Behavioral of contm is
begin
process (CLK2, RESET)
begin
if RESET='0' then
COUNT2 <= "0000";
elsif CLK2='1' and CLK2'event then
if DIR='1' then
COUNT2 <= COUNT2 + 1;
else
COUNT2 <= COUNT2 - 1;
end if;
end if;
end process;
process (CLK1, RESET)
begin
if RESET='0' then
COUNT2 <= "0000";
elsif CLK1='1' and CLK1'event then
COUNT2 <= COUNT2 - 1;
if count2="0000" then
rast<='1';
else
rast<='0';
end if;
end if;
end process;
end Behavioral;
دیدگاه