اطلاعیه

Collapse
No announcement yet.

خواهشا کمک کنید (کد vhdl)

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    خواهشا کمک کنید (کد vhdl)

    سلام من تو این زبان خیلی مبتدی هستم ولی خیلی نیاز دارم این مسئله رو به صورت یه برنامه اجرایی حل کنم - خواهش میکنم اگر کسی میتونه کمکم کنه
    راستش من نمیدونم با کدوم نرم افزار بهتره این مسئله وی اچ دی ال رو نوشت و اجرا کرد میشه لطف کنید این مسئله رو به صورت اجرایی حل کنید و بگید با چه نرم افزری اجراش کنم بهتره



    #2
    پاسخ : خواهشا کمک کنید (کد vhdl)

    سلام
    وقت بخیر
    نوشته اصلی توسط mo-hammad
    سلام من تو این زبان خیلی مبتدی هستم ولی خیلی نیاز دارم این مسئله رو به صورت یه برنامه اجرایی حل کنم - خواهش میکنم اگر کسی میتونه کمکم کنه
    راستش من نمیدونم با کدوم نرم افزار بهتره این مسئله وی اچ دی ال رو نوشت و اجرا کرد میشه لطف کنید این مسئله رو به صورت اجرایی حل کنید و بگید با چه نرم افزری اجراش کنم بهتره
    اینم مسئلست
    input: clk (1 bit)
    outputs: led (4 bits ), reset (1 bit )
    Operation : in the first step , the first bit of the led from left side ,should be turn on and after one second , the next led should be turn on too , and this Action should be repet until the end of leds. when it arrived to the end, the last led (the led that is in the right side),should be turn off, and after one second the next led near of it should be turn off and and this action should be repet until the end of leds (until all of the leds become turn off).
    when the reset bit become 1 , the system should become reset (it mean - the system should be work from step one even the system is in the another steps !)




    اینم ترجمش
    ورودی : clk (یک بیتی)
    خروجی ها: led (چهار بیتی) , reset : (یک بیتی)
    عملکرد: ابتدا اولین بیت led از سمت چپ - روشن شده و پس از یک ثانیه - led بعدی نیز روشن شود و همین روند تا انتها ادامه پیدا کند. به انتها که رسید -آخرین led (سمت راست ترین بیت led ) خاموش شده و پس از یک ثانیه led بعدی خاموش شده و همین روند تا خاموش شدن همه ی led ها ادامه پیدا کند. در صورت یک شدن بیت reset - سیستم در هر شرایطی که قرار دارد - عملکر خود را از ابتدا شروع می کند.
    لطفا مطالعه بفرمایید
    https://startingelectronics.org/soft...rider-display/
    با احترام
    94/9/24

    دیدگاه


      #3
      پاسخ : خواهشا کمک کنید (کد vhdl)

      نوشته اصلی توسط امیر معیری
      سلام
      وقت بخیرلطفا مطالعه بفرمایید
      https://startingelectronics.org/soft...rider-display/
      با احترام
      94/9/24
      سلام ممنون امیر جان - این الان دقیقا همینه ؟ راستش من رشتم برق یا الکترونیک نیست و با این ها یکم غریبه هستم- میشه سورس کدی که تو همین سایته گذاشته رو به جواب مسئله من تبدیل کنی ؟ ممنون میشم امیر جان به خدا

      دیدگاه


        #4
        پاسخ : خواهشا کمک کنید (کد vhdl)

        کمک لطفا :(

        دیدگاه


          #5
          پاسخ : خواهشا کمک کنید (کد vhdl)

          نوشته اصلی توسط mo-hammad
          سلام من تو این زبان خیلی مبتدی هستم ولی خیلی نیاز دارم این مسئله رو به صورت یه برنامه اجرایی حل کنم - خواهش میکنم اگر کسی میتونه کمکم کنه
          راستش من نمیدونم با کدوم نرم افزار بهتره این مسئله وی اچ دی ال رو نوشت و اجرا کرد میشه لطف کنید این مسئله رو به صورت اجرایی حل کنید و بگید با چه نرم افزری اجراش کنم بهتره

          اینم مسئلست

          input: clk (1 bit)
          outputs: led (4 bits ), reset (1 bit )
          Operation : in the first step , the first bit of the led from left side ,should be turn on and after one second , the next led should be turn on too , and this Action should be repet until the end of leds. when it arrived to the end, the last led (the led that is in the right side),should be turn off, and after one second the next led near of it should be turn off and and this action should be repet until the end of leds (until all of the leds become turn off).
          when the reset bit become 1 , the system should become reset (it mean - the system should be work from step one even the system is in the another steps !)




          اینم ترجمش

          ورودی : clk (یک بیتی)

          خروجی ها: led (چهار بیتی) , reset : (یک بیتی)

          عملکرد: ابتدا اولین بیت led از سمت چپ - روشن شده و پس از یک ثانیه - led بعدی نیز روشن شود و همین روند تا انتها ادامه پیدا کند. به انتها که رسید -آخرین led (سمت راست ترین بیت led ) خاموش شده و پس از یک ثانیه led بعدی خاموش شده و همین روند تا خاموش شدن همه ی led ها ادامه پیدا کند. در صورت یک شدن بیت reset - سیستم در هر شرایطی که قرار دارد - عملکر خود را از ابتدا شروع می کند.
          فقط یکبار میخوای این اتفاق بیفته یا حلقه وار؟
          خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

          دیدگاه


            #6
            پاسخ : خواهشا کمک کنید (کد vhdl)

            نوشته اصلی توسط mo-hammad
            سلام من تو این زبان خیلی مبتدی هستم ولی خیلی نیاز دارم این مسئله رو به صورت یه برنامه اجرایی حل کنم - خواهش میکنم اگر کسی میتونه کمکم کنه
            راستش من نمیدونم با کدوم نرم افزار بهتره این مسئله وی اچ دی ال رو نوشت و اجرا کرد میشه لطف کنید این مسئله رو به صورت اجرایی حل کنید و بگید با چه نرم افزری اجراش کنم بهتره

            اینم مسئلست

            input: clk (1 bit)
            outputs: led (4 bits ), reset (1 bit )
            Operation : in the first step , the first bit of the led from left side ,should be turn on and after one second , the next led should be turn on too , and this Action should be repet until the end of leds. when it arrived to the end, the last led (the led that is in the right side),should be turn off, and after one second the next led near of it should be turn off and and this action should be repet until the end of leds (until all of the leds become turn off).
            when the reset bit become 1 , the system should become reset (it mean - the system should be work from step one even the system is in the another steps !)




            اینم ترجمش

            ورودی : clk (یک بیتی)

            خروجی ها: led (چهار بیتی) , reset : (یک بیتی)

            عملکرد: ابتدا اولین بیت led از سمت چپ - روشن شده و پس از یک ثانیه - led بعدی نیز روشن شود و همین روند تا انتها ادامه پیدا کند. به انتها که رسید -آخرین led (سمت راست ترین بیت led ) خاموش شده و پس از یک ثانیه led بعدی خاموش شده و همین روند تا خاموش شدن همه ی led ها ادامه پیدا کند. در صورت یک شدن بیت reset - سیستم در هر شرایطی که قرار دارد - عملکر خود را از ابتدا شروع می کند.

            کامل برات نوشتم و رو سخت افزار هم تست کردم. من با فرکانس 16 مگ نوشتم و برات تو کد تگ کردم اگه فرکانس کلاکت عوض شد باید کجارو تغییر بدی. لوپ وار با فاصله 1 ثانیه عملتو انجام میده

            کد:
            library IEEE;
            use IEEE.STD_LOGIC_1164.ALL;
            
            entity led4 is
              Port ( clk : in STD_LOGIC;
                  res : in STD_LOGIC;
                  led : out STD_LOGIC_VECTOR (3 downto 0));
            end led4;
            
            architecture Behavioral of led4 is
            
            type state is(s1,s2);
            signal st: state;
            
            signal x: std_logic_vector(3 downto 0);
            
            begin
            
            process(clk,res)
            variable i: integer range 0 to 16000000; -- 16MHz
            begin
            
              if(res='1')then
               i:=0;
               led<="0000";
            		x<="0000";
               st<=s1;
              elsif(clk'event and clk='1')then
            	 i:=i+1;
            	  if(i>15999999)then -- for 16MHz
                i:=0;
            	   if(st=s1)then
            	    x<=x(2 downto 0)&'1';
            			 led<=x;
            		  if(x="1111")then
            		   st<=s2;
            		  end if;
            	   else
            	    x<='0'&x(3 downto 1);
            			 led<=x;
            		  if(x="0000")then
            		   st<=s1;
            		  end if;
            	   end if;
               end if;
              end if;
             
            end process;
            
            end Behavioral;
            خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

            دیدگاه


              #7
              پاسخ : خواهشا کمک کنید (کد vhdl)

              نوشته اصلی توسط حمید نجفی

              کامل برات نوشتم و رو سخت افزار هم تست کردم. من با فرکانس 16 مگ نوشتم و برات تو کد تگ کردم اگه فرکانس کلاکت عوض شد باید کجارو تغییر بدی. لوپ وار با فاصله 1 ثانیه عملتو انجام میده

              کد:
              library IEEE;
              use IEEE.STD_LOGIC_1164.ALL;
              
              entity led4 is
                Port ( clk : in STD_LOGIC;
                    res : in STD_LOGIC;
                    led : out STD_LOGIC_VECTOR (3 downto 0));
              end led4;
              
              architecture Behavioral of led4 is
              
              type state is(s1,s2);
              signal st: state;
              
              signal x: std_logic_vector(3 downto 0);
              
              begin
              
              process(clk,res)
              variable i: integer range 0 to 16000000; -- 16MHz
              begin
              
                if(res='1')then
                 i:=0;
                 led<="0000";
              		x<="0000";
                 st<=s1;
                elsif(clk'event and clk='1')then
              	 i:=i+1;
              	  if(i>15999999)then -- for 16MHz
                  i:=0;
              	   if(st=s1)then
              	    x<=x(2 downto 0)&'1';
              			 led<=x;
              		  if(x="1111")then
              		   st<=s2;
              		  end if;
              	   else
              	    x<='0'&x(3 downto 1);
              			 led<=x;
              		  if(x="0000")then
              		   st<=s1;
              		  end if;
              	   end if;
                 end if;
                end if;
               
              end process;
              
              end Behavioral;
              حمید جان لطف کردی برادر - آره باید لوپ باشه و وقتی ریست شد دوباره از اول شروع بشه

              الان من اینو با چه کامپایلری اجرا کنم ؟ آیا شبیه ساز نرم افزاری داره ؟ برای ارائه نرم افزاری

              میتونی از این لحاظ هم کمکم کنی یعنی میتونی به صورت فایل اجرایی ise درش بیاری ؟ درسته دیگه آره ؟ با همین نرم افزار کامپایل میکنن ؟

              میتونی شبیه سازیش رو کمکم کنی و فایلشو لطف کنی حمید جان ؟ ممنون میشم به خدا

              دیدگاه


                #8
                پاسخ : خواهشا کمک کنید (کد vhdl)

                برای شبیه سازی یه پروژه تو ise بساز و این فایلو بهش اد کن و سنتز کن. برای شبیه سازی فقط کلاک میخوای. ولی بخوای زمان های 1 ثانیه رو شبیه سازی کنی خیلی طول میکشه. باید سرعت رو بیاری پایین.
                خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

                دیدگاه


                  #9
                  پاسخ : خواهشا کمک کنید (کد vhdl)

                  شرمنده - ولی کسی میتونه این برنامه رو برام بصورت پروژه ی اجرایی و شبیه سازی شده ی نرم افزار ise در بیاره ؟

                  من واقعا تا به حال با این نرم افزار کار نکردم و کلا رشتم چیز دیگه ای هست ولی برای محث سخت افزار و سیستم گفتند بیارید من رشتم نرم افزار هست

                  و با زبا نهای c آشنام نه با اینا - میشه لطف کنید پروژش رو شبیه سازی کنید و فایلش رو قرار بدید - یه دنیا ممنون میشم به خدا

                  دیدگاه


                    #10
                    پاسخ : خواهشا کمک کنید (کد vhdl)

                    نوشته اصلی توسط mo-hammad
                    شرمنده - ولی کسی میتونه این برنامه رو برام بصورت پروژه ی اجرایی و شبیه سازی شده ی نرم افزار ise در بیاره ؟

                    من واقعا تا به حال با این نرم افزار کار نکردم و کلا رشتم چیز دیگه ای هست ولی برای محث سخت افزار و سیستم گفتند بیارید من رشتم نرم افزار هست

                    و با زبا نهای c آشنام نه با اینا - میشه لطف کنید پروژش رو شبیه سازی کنید و فایلش رو قرار بدید - یه دنیا ممنون میشم به خدا

                    خدمت شما : http://h-najafi.persiangig.com/FPGA/.../download?b099

                    پروژه کامل با تست بنچ و تصویری از سیموله

                    موفق باشید
                    خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

                    دیدگاه


                      #11
                      پاسخ : خواهشا کمک کنید (کد vhdl)

                      وای مهندس یه دنیا ممنون

                      دیدگاه

                      لطفا صبر کنید...
                      X