اطلاعیه

Collapse
No announcement yet.

نوشتن کد FPGA به زبان C

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    نوشتن کد FPGA به زبان C

    با سلام
    می خواستم ببینم اینجا کسی هست که کد FPGA رو به زبان C نوشته باشه. خیلی دنبال این مبحث گشتم به برنامه هایی که رسیدم اینا بود:
    1- FPGA advantage
    2- codeveloper
    3- Altium
    اما متاسفانه چه در سایتای ایرانی چه در سایتای خارجی کسی که کار کرده باشه با این برنامه ها ئو و نتیجشو بگه پیدا نکردم.
    یه مبحثیم دیدم راجع به vivado که خود xilinx ارائش کرده اما FPGAئی که من دارم استفاده می کنم spartan6 هست و تو سایتا نوشته بود که vivado سری هفت به بعد رو ساپورت می کنه، البته باز هم جاهایی دیدم که نوشته spartan6 رو هم ساپورت می کنه اما اینکه چه جوری ساپورت می کنه و متوجه نشدم اگر راهنماییم کنید ممنون می شم.
    با سپاس

    #2
    پاسخ : نوشتن کد FPGA به زبان C

    سلام
    این موضوعی که نام بردید HLS یا high level synthesis است. الان vivado و نرم افزار altera پشتیبانی میکنن. چند نرم افزار دیگر هم هست که قدیمیتر هستند.
    برای اسپارتان 6 هم همون vivado جواب میده.
    شماکد را تبدیل به vhdl , verilog کنید. بعد از آن کد در ISE استفاده کنید.
    علت اینکه مطالب در اینترنت کم هست پولی بودن این سرویس هست.

    دیدگاه


      #3
      پاسخ : نوشتن کد FPGA به زبان C

      من تا جایی که یادم میاد داخل لیست برنامه ویوادو اصلا اسپارتان 6 نیست. از سری های دیگر و قوی تر این برنامه پشتیبانی می کند. چون خودم هم اسپارتان 6 استفاده می کنم می خواستم با ویوادو کار کنم تو لیستش پیدا نکردم.

      دیدگاه

      لطفا صبر کنید...
      X