من میخوام یه ارتباط سریال با یک fpga
بسازم اینطور که 8بیت داده پارالل رو بهش بدم انها...