سلام
عیدتون مبارک.
من fpga با زبان vhdl یه مقدار کار کردم.ولی اطاعات زیادی در مورد...