کسی می دونه چطوری در نرم افزار ISE-13.2 میشه از روی کد vhdl فایل شماتیک رو ایجاد کرد و از شماتیک...