اطلاعیه

Collapse
No announcement yet.

تبدیل کد گری به کد باینری

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    تبدیل کد گری به کد باینری

    اگه کسی تبدیل کد باینری به کد گری رو بلده ممنون میشم اونو برام بفرسته :job:

    #2
    پاسخ : تبدیل کد گری به کد باینری

    نوشته اصلی توسط mohamad12490
    اگه کسی تبدیل کد باینری به کد گری رو بلده ممنون میشم اونو برام بفرسته :job:
    با سلام

    بصورت سخت افزاری یا نرم افزاری ؟

    دیدگاه


      #3
      پاسخ : تبدیل کد گری به کد باینری

      این برای چهاربیته. اگر برای اندازه های بیشتر می خواید گسترش بدید.
      معمولا CAD tool های خوب کدکانورتور دارن.


      library IEEE;
      use IEEE.std_logic_1164.all;

      entity conv is
      port (
      I : in std_logic_vector(3 downto 0);
      EN : in std_logic;
      O : out std_logic_vector(3 downto 0)
      );
      end entity;

      --}} End of automatically maintained section

      architecture conv_arch of conv is
      begin

      process(I, EN)
      variable TEMP_O : std_logic_vector(3 downto 0);
      begin
      TEMP_O := (others => '0'

      if EN='1' then
      TEMP_O(3) := I(3);
      TEMP_O(2) := I(2) xor TEMP_O(3);
      TEMP_O(1) := I(1) xor TEMP_O(2);
      TEMP_O(0) := I(0) xor TEMP_O(1);
      end if;

      O <= TEMP_O;
      end process;

      end architecture;

      کد وریلاگ:



      module conv (EN, I, O);

      input EN;

      input [3:0] I;

      output [3:0] O;
      reg [3:0] O;

      //}} End of automatically maintained section


      always @(I or EN)
      begin
      O = {4{1'b0}};
      if (EN == 1)
      begin
      O[3] = I[3];
      O[2] = I[2] ^ O[3];
      O[1] = I[1] ^ O[2];
      O[0] = I[0] ^ O[1];
      end
      end

      endmodule

      دیدگاه


        #4
        تبدیل کد گری به کد باینری

        با سلام
        یه سوال داشتم در مورد تبدیل کد گری به باینری به زبان اسمبلی.... توو تبدیل باینری به گری راحت میشد برنامه رو نوشت اما برا تبدیل گری به باینری نمی دونم با چه روندی باید کد گری رو با خودش xor کنیم.... از دوستان اگه کسی بتونه کمکم کنه ممنون می شم

        صورت سوال:
        "برنامه ای بنویسید که کد گری واقع در R20 را به کد باینری تبدیل کرده و در R21 نشان دهد."
        (atmega 32)

        دیدگاه


          #5
          پاسخ : تبدیل کد گری به کد باینری

          مگه فرق می کنه درست همونه :

          برای تبدیل کد گری به کد باینری می توان به شکل زیر عمل کرد:
          بیت سمت چپ عددگری را می نویسیم.سپس آن بیت را با بیت بعدی عدد گری جمع در ماژول 2 کرده و بعنوان رقم بعدی عدد باینری در نظر می گیریم و این عمل را تا آخر ادامه می دهیم
          [hr]
          همچنین سوالات اسمبلی را در تاپیک زیر مطرح کنید:(یا در تاپیک های مربوط به برنامه نویسی)

          برنامه نویسی به زبان اسمبلی
          http://www.eca.ir/forum2/index.php?topic=39020.90
          يادش بخير يه وقتايي هر روز ميومدم اينجا !

          دیدگاه

          لطفا صبر کنید...
          X