اطلاعیه

Collapse
No announcement yet.

بچه ها کمک !!! چرا در USART سنکرون پالس همزمان سازی تولید نمیشه ؟؟؟؟

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    بچه ها کمک !!! چرا در USART سنکرون پالس همزمان سازی تولید نمیشه ؟؟؟؟

    تو codewizard یک USART سنکرون ایجاد کردم یه master و یه slave با UCPOL متفاوت و BAUD RATE 0% و UDR رو در master مقدار دادم ، در PROTEUS مستر دیتا رو از پین TXD ارسال میکنه ولی پالس همزمان سازی در پین XCK مستر تولید نمیشه و SLAVE نمیتونه دیتا رو بگیره . ضمنا با اینکه پین B.0 پایه XCK است ولی D.0 ، codewizard رو به عنوانXCK پیشفرض میگیره و خروجی میکنه ولی نه در B.0 و نه در D.0 پالس همزمانی تولید نمیشه .
    برنامه اینه
    ;(( while ( ! ( UCSRA @ 0X80
    ;PORTA=UDR
    ; (1)while

    #2
    پاسخ : بچه ها کمک کمک !!! چرا در USART سنکرون پالس همزمان سازی تولید نمیشه ؟؟؟؟

    سلام
    شما برنامه را بگذار تا ببینیم چیکار کردی.
    فرزندم در راه است

    دیدگاه


      #3
      پاسخ : بچه ها کمک کمک !!! چرا در USART سنکرون پالس همزمان سازی تولید نمیشه ؟؟؟؟

      [quote author=علیرضا کیانی link=topic=35002.msg169750#msg169750 date=1262585732]
      سلام
      شما برنامه را بگذار تا ببینیم چیکار کردی.

      برنامه اینه
      ;(( while ( ! ( UCSRA @ 0X80
      ;PORTA=UDR
      ; (1)while

      دیدگاه


        #4
        پاسخ : بچه ها کمک !!! چرا در USART سنکرون پالس همزمان سازی تولید نمیشه ؟؟؟؟

        سلام :
        من با بسکام کار میکنم و تونستم با 485 ارتباط بر قرار کنم اما به یه مشکلی برخوردم اونم اینکه وقتی اطلاعات رو ارسال میکنم منتظر وقفه اتمام ارسال میمونم usr.txc و پایه فعال کننده ای سی 485 رو غیر فعال کنم و اگه داده جدیدی رو خواستم ارسال کنم از اتمام ارسال مطمئن باشم
        تو روال عادی اینکار به خوبی انجام میشه با سرعت خیلی خوب اما در بعضی جاها مجبورم میشم تو زیر برنامه تایمرها اینکا رو انجام بدم یعنی ارسال داده رو و منتظر اتمام ارسال میمونم نمی دونم چرا اطلاعات رو که ارسال میکنه دیگه وقفه اتمام ارسال فعال نمیشه می خوام بدونم وقتی تو زیر برنامه تایمر هستی چه تاثیر روی عملکر و وقفه سریال داره ؟ دوستان و اساتید کمک شما را خواشتار هستیم
        پیشاپیش ممنون

        دیدگاه

        لطفا صبر کنید...
        X