اطلاعیه

Collapse
No announcement yet.

مشکل با پروگرم CPLD

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    مشکل با پروگرم CPLD

    سلام
    من تو پروگرم کردن CPLD
    مشکل دارم
    من پروگرمر XILINX
    رو ساختم. قطعه رو میشناسه ولی پروگرم نمی کنه؟
    دیباگشم جواب میده
    موقع CHECKSUM
    اینو میگه
    Maximum TCK operating frequency for this device chain: 0.
    Validating chain...
    Boundary-scan chain validated successfully.
    '1': Putting device in ISP mode...done
    '1': Putting device in ISP mode...done
    '1': Reading device contents...
    '1': Calculated checksum is 2bc0
    '1': Calculated checksum ( 2bc0 ) does not match the file checksum( 44a8 )
    PROGRESS_END - End Operation.
    Elapsed time = 0 sec

    موقعه GET DEVICE ID
    Boundary-scan chain validated successfully.
    '1': IDCODE is '00101001010100000100000010010011'
    '1': IDCODE is '29504093' (in hex).
    لطفاً راهنماییم کنید

    #2
    پاسخ : مشکل با پروگرم CPLD

    سلام . منم این مشکل رو داشتم ،دقیقآ نمیدونم مال چیه،ولی من تغذیه مدارو دقیقآ 5 ولت
    کردم وخازن رو تغذیه زدم ok شد. البته من یک دیود به عنوان محافظ گذاشتم که از داغ
    شدن Cpld جلوگیری می کنه (چون ولتاژ تغذیه می شه 4.3v )و5v رو فقط برای پروگرام کردن
    استفاده می کنم.
    پایه های gnd رو چک کن به هم اتصال کوتاه نباشند :bye :bye :bye

    دیدگاه


      #3
      پاسخ : مشکل با پروگرم CPLD

      سلام.من یه xc9572 دارم.بعد یه بار پروگرم کردن error میده که idcode دریافت شده match نیستش و idcode = 000000 هست.این cpld ها هم خیلی داغ میکنه.کسی با این مشکلا برخورد نکرده؟

      دیدگاه


        #4
        پاسخ : مشکل با پروگرم CPLD

        آره له نظرم cpld ها خیلی داغ میکنن
        من بی خیال اون پروگرمر شدم یکی 15تومان خریدم پروگرم کرد
        باهاش برد fpga خودم رو هم پروگرم کردم
        البته از طریق prom مستقیم نتونستم

        دیدگاه


          #5
          پاسخ : مشکل با پروگرم CPLD

          نوشته اصلی توسط worldelm
          آره له نظرم cpld ها خیلی داغ میکنن
          من بی خیال اون پروگرمر شدم یکی 15تومان خریدم پروگرم کرد
          باهاش برد fpga خودم رو هم پروگرم کردم
          البته از طریق prom مستقیم نتونستم

          سلام..
          بچه ها CPLDمن هم ALTERAبود به شماره EPM7032s...می خواستم با Quartuse پروگرمش کنم، یه دوتا ارورر داد ، و خیلی هم داغ شد!!!! :eek:
          بهم گفتن دیگه تراشه ت سوخته!! ولی دوبااره که به منبع ولتاژ وصل می کرد گرم می شد!!! oo:
          بچه ها بنظرتون امیدی بهش هست که نسوخته باشه؟؟؟؟؟
          چه جوریه این CPLD ها؟؟؟؟؟؟ مشکل از کجاست؟؟!!!!
          اینم بگم که پروگرمرشو خودم ساختم، میتونه مشکل ازون باشه؟؟؟؟ دقیقآ از روی مداری که خوده ALTERA داده بود ساختمش!!! (ByteMaster)
          oo: :sad:
          مرسی..
          نگران فردايت نباش...

          "خداي" ديروز و امروزت "فردا هم هست"..

          *فردايت قشنگ*

          دیدگاه


            #6
            پاسخ : مشکل با پروگرم CPLD

            نوشته اصلی توسط mitra_m3

            سلام..
            بچه ها CPLDمن هم ALTERAبود به شماره EPM7032s...می خواستم با Quartuse پروگرمش کنم، یه دوتا ارورر داد ، و خیلی هم داغ شد!!!! :eek:
            بهم گفتن دیگه تراشه ت سوخته!! ولی دوبااره که به منبع ولتاژ وصل می کرد گرم می شد!!! oo:
            بچه ها بنظرتون امیدی بهش هست که نسوخته باشه؟؟؟؟؟
            چه جوریه این CPLD ها؟؟؟؟؟؟ مشکل از کجاست؟؟!!!!
            اینم بگم که پروگرمرشو خودم ساختم، میتونه مشکل ازون باشه؟؟؟؟ دقیقآ از روی مداری که خوده ALTERA داده بود ساختمش!!! (ByteMaster)
            oo: :sad:
            مرسی..
            متاسفانه در کدنویسی های دانشجویی مفهوم Reset خوب جا نیفتاده، دلیل اکثر مشکلات CPLD ها برای برنامه ریزی این هست که تاجای ممکن در زمانی که دارن Program میشن نباید برنامه ای روشون Run باشه یا حداقل در حالت Reset باقی بمونه. در بعضی Programmer ها بعضی پایه ها مشترک هستن و زمانی که CPLD رو در Programmer جا میزنید شروع به کار کردن میکنه و ممکنه بعضی از پایه ها Short Circuit بشن و IC گرم بشه، تنها دلیل گرم شدن IC جریان کشی هست که ممکنه به دلیل Short Circuit باشه یا به دلیل کار کردن در فرکانسهای بالا که مارجینهای گیتها در نمودار زمانی در هم میرن و مقاومت لحظه ای ایجاد میکنن و توان مصرفی مدار زیاد میشه یا به عبارت بهتر تلفات بالا میره. حالا اگه در کدتون برای مدارتون یک Reset تعریف کنید و پایه اون رو در Programmer همیشه در حالت Reset بذارید دیگه CPLD در Programmer ، فعالیتی نداره و در مدار هم از حالت Reset خارجش کنید تا شروع به کار کنه و دیگه نباید مشکلی باشه، در ضمن به نسبت خروجی ولتاژ و جریان هر بانک از اون توان بکشید تا بی دلیل CPLD گرم نشه و از بین نره، هرچی هم فرکانس کاریتون بالا میره ولتاژ کمتری رو انتخاب کنید.
            اسمایل، تومورو ویل بی وُرس

            دیدگاه


              #7
              پاسخ : مشکل با پروگرم CPLD

              نوشته اصلی توسط ژوپیتر
              متاسفانه در کدنویسی های دانشجویی مفهوم Reset خوب جا نیفتاده، دلیل اکثر مشکلات CPLD ها برای برنامه ریزی این هست که تاجای ممکن در زمانی که دارن Program میشن نباید برنامه ای روشون Run باشه یا حداقل در حالت Reset باقی بمونه. در بعضی Programmer ها بعضی پایه ها مشترک هستن و زمانی که CPLD رو در Programmer جا میزنید شروع به کار کردن میکنه و ممکنه بعضی از پایه ها Short Circuit بشن و IC گرم بشه، تنها دلیل گرم شدن IC جریان کشی هست که ممکنه به دلیل Short Circuit باشه یا به دلیل کار کردن در فرکانسهای بالا که مارجینهای گیتها در نمودار زمانی در هم میرن و مقاومت لحظه ای ایجاد میکنن و توان مصرفی مدار زیاد میشه یا به عبارت بهتر تلفات بالا میره. حالا اگه در کدتون برای مدارتون یک Reset تعریف کنید و پایه اون رو در Programmer همیشه در حالت Reset بذارید دیگه CPLD در Programmer ، فعالیتی نداره و در مدار هم از حالت Reset خارجش کنید تا شروع به کار کنه و دیگه نباید مشکلی باشه، در ضمن به نسبت خروجی ولتاژ و جریان هر بانک از اون توان بکشید تا بی دلیل CPLD گرم نشه و از بین نره، هرچی هم فرکانس کاریتون بالا میره ولتاژ کمتری رو انتخاب کنید.


              سلام...
              ممنون از راهنماییتون ...
              از لحاظ اتصال کوتاه شدن میشه گفت تقریبآ همه پایه ها رو چک کردم، مشکلی نداشت..واسه ولتاژ و جریانش هم دقیقآ توی رنج کاریش استفاده کردم..!!!

              ولی واسه این Reset که میگین، نمی دونم خوب فهمیدم منظورتون رو یا نه.. oo: یعنی با توجه به گفته ها تون من CPLDرو در حالیکه پایه Reset فعال هست پروگرم کنم؟؟؟؟ پایه Reset خود تراشه؟؟؟ یا بطور مستقل توی برنامه ای که نوشتم تعریفش کنم و بعد فعالش کنم؟؟؟؟؟؟؟ ببخشید گیج شدم...!! اگه بیشتر راهنماییم کنین، ممنون میشم.... :read:

              ممنون از کمکتون..
              نگران فردايت نباش...

              "خداي" ديروز و امروزت "فردا هم هست"..

              *فردايت قشنگ*

              دیدگاه


                #8
                پاسخ : مشکل با پروگرم CPLD

                نوشته اصلی توسط mitra_m3


                سلام...
                ممنون از راهنماییتون ...
                از لحاظ اتصال کوتاه شدن میشه گفت تقریبآ همه پایه ها رو چک کردم، مشکلی نداشت..واسه ولتاژ و جریانش هم دقیقآ توی رنج کاریش استفاده کردم..!!!

                ولی واسه این Reset که میگین، نمی دونم خوب فهمیدم منظورتون رو یا نه.. oo: یعنی با توجه به گفته ها تون من CPLDرو در حالیکه پایه Reset فعال هست پروگرم کنم؟؟؟؟ پایه Reset خود تراشه؟؟؟ یا بطور مستقل توی برنامه ای که نوشتم تعریفش کنم و بعد فعالش کنم؟؟؟؟؟؟؟ ببخشید گیج شدم...!! اگه بیشتر راهنماییم کنین، ممنون میشم.... :read:

                ممنون از کمکتون..
                پایه Reset خود چیپ منظورم نبود، توی خود برنامه پایه ای رو به عنوان Reset تعریف کنید که اگه مثلا صفر منطقی بود در برنامه شرطی باشه که برنامه متوقف بشه و همه پایه ها رو در حالت ورودی نگه داره، اگر Reset برابر یک منطقی شد دیگه شرط قبلی برقرار نیست و برنامه شروع به کار کنه و اگه قراره خروجی بده، خروجی رو روی پایه ها اعمال کنه. اون وقت در پروگرامر اون پایه همیشه باید در حالت Reset بمونه، یعنی اگه پایه ای رو انتخاب کردید که در پروگرامر آزاد هست باید حتما گراندش کنید که وقتی CPLD رو جا میزنید دیگه اون برنامه کار نکنه و همه پایه ها ورودی بشن، البته باید کدتون ترکیبی باشه چون اگه ترتیبی و با کلاک بنویسید مجبورید در پروگرامر هم بهش کلاک بدید.
                اسمایل، تومورو ویل بی وُرس

                دیدگاه


                  #9
                  پاسخ : مشکل با پروگرم CPLD

                  سلام دوستان...

                  میشه یه نگاهی به این ارورای من بندازین ببینین چی میگه.... :angry:
                  http://www.4shared.com/photo/Qmfzfma2/00_online.html

                  من که اساسی داغ کردم از دست این CPLD و Quartuse.....یعنی اعصاب نذاشته واسم.. :angry: :angry: :angry:

                  مرسی..
                  نگران فردايت نباش...

                  "خداي" ديروز و امروزت "فردا هم هست"..

                  *فردايت قشنگ*

                  دیدگاه


                    #10
                    پاسخ : مشکل با پروگرم CPLD

                    نوشته اصلی توسط mitra_m3
                    سلام دوستان...

                    میشه یه نگاهی به این ارورای من بندازین ببینین چی میگه.... :angry:
                    http://www.4shared.com/photo/Qmfzfma2/00_online.html

                    من که اساسی داغ کردم از دست این CPLD و Quartuse.....یعنی اعصاب نذاشته واسم.. :angry: :angry: :angry:

                    مرسی..
                    درایور مربوطه درست نصب نشده، حالا یا پورت پرینتر بسته شده، یا آنتی ویروسه یا اگه ویندوز عوض کردین، درست نصب نکردین. از نسخه 9 به بالا هم استفاده کنید.
                    اسمایل، تومورو ویل بی وُرس

                    دیدگاه

                    لطفا صبر کنید...
                    X