اطلاعیه

Collapse
No announcement yet.

روشی برای تقسیم

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    روشی برای تقسیم

    سلام
    من با vhdl کار میکنم و فهمیدم که تو vhdl عملیات تقسیم و باقی مانده تقسیم فقط به اعداد زوج و مضرب 2 فقط انجام میشه
    کسی میدونه روشی هست که بشه بدون استفاده از دستور / عملیات تقسیم و گرفتن باقیمانده انجام بدیم :angry: :angry: :angry: :angry: :angry:
    درد من تنهائي نيست . بلکه مرگ ملتي است که گدائي را قناعت و بي عرضگي را صبر و با تبسمي بر لب اين حماقت را حکمت خداوند ميدانند . گاندي

    #2
    پاسخ : روشی برای تقسیم

    دقیق متوجه منظورت نشدم. ولی برای تقسیم و باقیمانده در ISE یک IP Core معمولی وجود داره و یک آی پی Floating Point. خیلی خوب و کار راه انداز هستن!

    دیدگاه


      #3
      پاسخ : روشی برای تقسیم

      میشه یکم توضیح بدید یا یک مثال بنویسید.
      منم همین مشکلو دارم .

      دیدگاه


        #4
        پاسخ : روشی برای تقسیم

        چجوری توضیح بدم. خب دقیقا بگو چی لازم داری؟ در چه محیطی و برای چه FPGA ای؟
        برای ISE :
        یک New Source ایجاد کن. IP Core رو انتخاب و یک اسم بهش بده. از لیستی که باز شد در زیر شاخه ی Math Functions از قسمت Dividers گزینه ی Divider Generator رو انتخاب و Next و Finish رو بزن. حالا در پنجره ی جدیدی که باز شد میتونی تنظیمات لازم رو انجام بدی و Datasheet رو هم از گوشه پایین سمت چپ بازکنی و بقیه اطلاعات لازم رو بدست بیاری.
        از اونجایی که ممکنه در خارج قسمت اعشار هم بخوای، ابتدا عدد مقسوم رو در 10 یا 100 یا 1000 یا 10000 (هر چند تا رقم اعشار که لازم داری) ضرب کن تا عدد خارج قسمتت شامل اعشار هم باشه و وقتت صرف remainder نشه.
        عملیات تقسیم معمولا حجم زیادی از FPGA رو اشغال می کنه (البته منظورم FPGA های کم حجم مثلا Spartan-400 هستش) و تا جای ممکن از عملیات تقسیم اجتناب میشه!

        دیدگاه


          #5
          پاسخ : روشی برای تقسیم

          خیلی ممنون دوست من
          من برای cpld میخاستم
          پس با این توضیحات حتما یک fpga احتیاجه
          ولی خیلی ممنون از را جوابتون :agree: :biggrin:
          درد من تنهائي نيست . بلکه مرگ ملتي است که گدائي را قناعت و بي عرضگي را صبر و با تبسمي بر لب اين حماقت را حکمت خداوند ميدانند . گاندي

          دیدگاه


            #6
            پاسخ : روشی برای تقسیم

            داخل CPLD فکر کنم بتونی تقسیم رو در مضارب 2 به صورت Shift به سادگی پیاده کنی. ولی برای تقسیم دو عدد دلخواه اگر عددت بزرگ نیست میکرو کارتو راه میندازه ولی برای اعداد خیلی بزرگ و سرعت بالا بهتره از FPGA استفاده کنی. بعضی FPGA ها هم در تقسیم های بزرگ کم میارن، CPLD که دیگه جای خود داره!

            دیدگاه

            لطفا صبر کنید...
            X