اطلاعیه

Collapse
No announcement yet.

یه سوال فنی VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    یه سوال فنی VHDL

    سلام به همه عزیزان
    من با Vhdl کاملا اشنایی دارم اما به یه سوال برخوردم ...
    یه پروسس داریم با حساسیت کلاک ! اگه دستورات داخل پروسس انقدر زیاد باشه که قبل از اجرای کامل دستورات پروسس کلاک مجدد بیاد ! چه اتفاقی می افته !؟ پروسس تا انتها میره یا از هر جا که باشه ریست میشه !؟
    از نظرتون ممنونم...

    #2
    پاسخ : یه سوال فنی VHDL

    ببخشید عزیز. یه سوال پایه ای.
    مگه ترتیب اجرای برنامه ها و sequence بندیشون بر اساس کلاک نیست؟
    اون وقت با این چیزی که شما می گید، ما باید بتونیم یه تحریک به هر میکرویی بدیم و اون خودش دیگه تا آخر بره واسه خودش کار کنه؟؟؟ :angry: :angry:
    اصل بقای انرژی داریم.

    با اومدن پالس کلاک، لیست process شروع میشه و دستورات توش با اومدن کلاک به ترتیب اجرا میشن.
    اگه توجه کنی، توی FPGA ها با هر کلاک یه خط دستور اجرا میشه (البته توی process ها)


    جدی یعنی تو می تونی برنامه ای بنویسی که وقتی کلاک میاد process شروع بشه و هنوز بعدی نیومده تموم بشه؟
    دانش فني تا به عمل تبديل نشده، ذره اي ارزش نداره. اما بعضي وقت ها بايد از دانش براي باز کردن مرزهاي جديدي در علم استفاده کرد، نه اينکه اونها رو صرفاً فقط به عمل تبديل کرد.

    دیدگاه


      #3
      پاسخ : یه سوال فنی VHDL

      من vhdl کار نکردم ولی حسن fpga اینه که همه دستورات داخل یه procces (تو verilog ، داخل always یا initial ) میتونه با هم اجرا کنه البته میشه sequence هم بهش بدی
      پس اگه اجرا همزمان باشه که هیچی ولی اگه sequence داشته باشه و تحریک بعدی بیاد بنظر من proces اینجا reset میشه پس بایدیه جوری مثل اینتراپت میکرو لیست حساسیت رو غیر فعال کنید مثلا با یه سیگنال دیگه and کنید و ...

      دیدگاه


        #4
        پاسخ : یه سوال فنی VHDL

        نوشته اصلی توسط حامد پور آزاد
        ببخشید عزیز. یه سوال پایه ای.
        مگه ترتیب اجرای برنامه ها و sequence بندیشون بر اساس کلاک نیست؟
        اون وقت با این چیزی که شما می گید، ما باید بتونیم یه تحریک به هر میکرویی بدیم و اون خودش دیگه تا آخر بره واسه خودش کار کنه؟؟؟ :angry: :angry:
        اصل بقای انرژی داریم.

        با اومدن پالس کلاک، لیست process شروع میشه و دستورات توش با اومدن کلاک به ترتیب اجرا میشن.
        اگه توجه کنی، توی FPGA ها با هر کلاک یه خط دستور اجرا میشه (البته توی process ها)


        جدی یعنی تو می تونی برنامه ای بنویسی که وقتی کلاک میاد process شروع بشه و هنوز بعدی نیومده تموم بشه؟
        کی گفته تو پروسس هر خط با یه کلاک اجرا میشه !!!! اگه اینطور بود که خود پروسس ها نسبت به هم همزمان نمی شدن !!!

        دیدگاه


          #5
          پاسخ : یه سوال فنی VHDL

          نوشته اصلی توسط nimooly
          کی گفته تو پروسس هر خط با یه کلاک اجرا میشه !!!! اگه اینطور بود که خود پروسس ها نسبت به هم همزمان نمی شدن !!!
          ببین منظورم از این حرف این بود که برای اجرای هر خط، یه کلاک زمان لازمه. برای اینکه منظورم رو متوجه بشی فرق بین AVR و 8051 رو ببین، که تو AVR توی هر کلاک می تونه یه خط ( یا یه دستور) رو اجرا کنه، اما 8051 یه سری از دستوراش fetch کردنش 4، 5 تا کلاک طول می کشه.
          حالا البته اصلاً این موضوع اصلی نیست، که بهش گیر دادی. بحث اصلی اینه که توی process با یه کلاک کل دستورای توی اون processاجرا نمیشه. این مساله کاملاً طبیعیه، چون اگه اینجوری نبود، می تونستی یه عالمه دستور رو بریزی توش و یه کلاک بدی و اون خودش تا ته اجرا کنه. یعنی اون وقت دیگه کلاک توی میکرو میشد کشک. توجه کن که تو process دیگه اجرای دستورات همروند نیست.

          توی هر نوع اجرای دستوری، چه هم روند و چه ترتیبی، سنکرون کردن زمان اجرای دستورات رو با کلاک انجام میدن.
          دانش فني تا به عمل تبديل نشده، ذره اي ارزش نداره. اما بعضي وقت ها بايد از دانش براي باز کردن مرزهاي جديدي در علم استفاده کرد، نه اينکه اونها رو صرفاً فقط به عمل تبديل کرد.

          دیدگاه


            #6
            پاسخ : یه سوال فنی VHDL

            کاملا موافقم...
            پس تو پروسس همه دستورات با یه کلاک اجرا نمیشه ! ولی نمیشه هم گفت که n تا خط از پروسه با یه کلاک اجرا میشه بقیه با کلاک بعدی !!! (چیزی که شما اول گفتی! )

            دیدگاه


              #7
              پاسخ : یه سوال فنی VHDL

              نوشته اصلی توسط nimooly
              کاملا موافقم...
              پس تو پروسس همه دستورات با یه کلاک اجرا نمیشه ! ولی نمیشه هم گفت که n تا خط از پروسه با یه کلاک اجرا میشه بقیه با کلاک بعدی !!! (چیزی که شما اول گفتی! )
              من کی اینو گفتم؟ :surprised:
              من گفتم هر خط با یه کلاک اجرا میشه، قاعدتاً توی یه خط یه دستور هم وجود داره دیگه!

              ببینید، این حرفم بر می گرده به سیستم CISC توی این میکروها.
              دانش فني تا به عمل تبديل نشده، ذره اي ارزش نداره. اما بعضي وقت ها بايد از دانش براي باز کردن مرزهاي جديدي در علم استفاده کرد، نه اينکه اونها رو صرفاً فقط به عمل تبديل کرد.

              دیدگاه


                #8
                پاسخ : یه سوال فنی VHDL

                ببینید تو پروسس همه دستورات همزمان آغاز میشند البته اگه sequence براش نذاریم

                دیدگاه


                  #9
                  پاسخ : یه سوال فنی VHDL

                  نوشته اصلی توسط Hossein.N
                  ببینید تو پروسس همه دستورات همزمان آغاز میشند البته اگه sequence براش نذاریم
                  بله. خوب بحث ما هم راجع به sequence بود دیگه. تو حالت همروند که مساله اصلاً چیز دیگه ایه.
                  دانش فني تا به عمل تبديل نشده، ذره اي ارزش نداره. اما بعضي وقت ها بايد از دانش براي باز کردن مرزهاي جديدي در علم استفاده کرد، نه اينکه اونها رو صرفاً فقط به عمل تبديل کرد.

                  دیدگاه

                  لطفا صبر کنید...
                  X