اطلاعیه

Collapse
No announcement yet.

counter حلقوی با کلاک بالارونده و پایین رونده

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    counter حلقوی با کلاک بالارونده و پایین رونده

    سلام
    من میخواهم برنامه counter حلقوی رو با کلاک بالارونده و پایین رونده باQuartus 2 بنویسم ،برنامم رو نوشتم ولی error میده ، نمیدونم چه جوری رفعشون کنم(چون تازه کارم)،لطفا کمکم کنید.
    اینم برنامم:

    library IEEE;
    use IEEE.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    entity cnt1 is
    port (
    clk: in STD_LOGIC;
    set,reset: in STD_LOGIC;
    enable: in STD_LOGIC;
    load: in STD_LOGIC;
    data: in STD_LOGIC_VECTOR (7 downto 0);
    data: in STD_LOGIC_VECTOR (0 to 7);
    cnt: inout STD_LOGIC_VECTOR (7 downto 0);
    cnt: inout STD_LOGIC_VECTOR (0 to 7);
    );
    end cnt1;

    architecture cnt1_arch of cnt is
    begin
    count: process(clk,set,reset)
    begin
    if reset='1' then
    cnt<=(others=>'0'
    elsif set='1' then
    cnt<=(others=>'1'
    elsif (clk'event and clk='1&#039 then
    if load='1' then
    cnt<=data;
    elsif enable='1' then
    cnt<=cnt+1;
    end if;
    elsif (clk'event and clk='0&#039 then
    if load='1' then
    cnt<=data;
    elsif enable='1' then
    cnt<=cnt-1;
    end if;
    end if;
    end process count ;
    end cnt1_arch;

    #2
    پاسخ : counter حلقوی با کلاک بالارونده و پایین رونده

    دوست عزیز error راشو که نذاشتی......
    هرگاه زندگی را جهنم دیدی, سعی کن پخته بیرون آیی, سوختن رو همه بلدند

    دیدگاه


      #3
      پاسخ : counter حلقوی با کلاک بالارونده و پایین رونده

      به نظرم مشکل ازاینجاست که اسم entity بکار رفته در آرشیتکت برنامه با اسم entity بکار رفته در اول برنامه یکی نیست!
      واسه entity نوشتید: cnt1
      واسه آرشیتکت نوشتید: cnt

      دیدگاه


        #4
        پاسخ : counter حلقوی با کلاک بالارونده و پایین رونده

        quartus تکست آماده هم برای verilog هم vhdl داره از اونا استفاده کن بهینه سازی شدس(جاشون تو نوار ابزار محیط تکسته )

        دیدگاه


          #5
          پاسخ : counter حلقوی با کلاک بالارونده و پایین رونده

          این پورت ها هر کدوم دو بار از دو نوع مختلف تعریف شده!

          data: in STD_LOGIC_VECTOR (7 downto 0);
          data: in STD_LOGIC_VECTOR (0 to 7);
          cnt: inout STD_LOGIC_VECTOR (7 downto 0);
          cnt: inout STD_LOGIC_VECTOR (0 to 7
          It's nice to be important but it's important to be nice!

          از اینکه نمی رسم جواب دوستان را بدم معذرت می خوام.

          دیدگاه

          لطفا صبر کنید...
          X