اطلاعیه

Collapse
No announcement yet.

port F mega 64

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    port F mega 64

    سلام دوستان من با پورت fوg در mega 64مشکل دارمممممممممممممممممممممممم
    از کد های زیر اشکال میگیره
    PORTG1=1;
    PORTF2=0;
    Error: the expression must be a modifiable lvalue
    یا
    PORTG.1=1;
    PORTF.2=0;
    Error: the first argument of the '.' operator must be of 'struct' or 'union' type
    کمک کنید ممنون میشم. :angry:

    #2
    پاسخ : port F mega 64

    سلام دوست عزیز
    اینطوری بنویس
    regfile = "m64def.dat$
    Config Portg = Output
    config Portf = Output

    Set Portg.1
    Reset Portf.2

    دیدگاه


      #3
      پاسخ : port F mega 64

      این سورس که C هستش. باید بنویسی:

      برای ست کردن یک بیت:


      PORTG |= 1 << 1;

      برای ریست کردنش:

      PORTF &= ~(1 << 2);

      دیدگاه


        #4
        پاسخ : port F mega 64

        من از این کد استفاده کردم جواب داد تابع G0 برای صفر کردن پی که به ورودی آن میدهید و G1 برای یک کردن پی که به ورودی آن میدهید.
        void G1(int a)
        {
        switch (a) {
        case 0:
        {
        PORTG=PING | 0X10;
        }
        break;
        case 1:
        {
        PORTG=PING | 0X08;
        }
        break;
        case 2:
        {
        PORTG=PING | 0X04;
        }
        break;
        case 3:
        {
        PORTG=PING | 0X02;
        }
        break;
        case 4:
        {
        PORTG=PING | 0X01;
        }
        break;

        };


        }
        //////////////////////////////////////////////
        void G0(int a)
        {
        switch (a) {
        case 0:
        {
        PORTG=PING & 0X0F;
        }
        break;
        case 1:
        {
        PORTG=PING & 0X17;
        }
        break;
        case 2:
        {
        PORTG=PING & 0X1B;
        }
        break;
        case 3:
        {
        PORTG=PING & 0X1D;
        }
        break;
        case 4:
        {
        PORTG=PING & 0X1E;
        }
        break;

        };

        }

        دیدگاه

        لطفا صبر کنید...
        X