اطلاعیه

Collapse
No announcement yet.

محاسبه پارامترهای خط انتقال چند مداره با هر نوع باندل و هر تعداد سیم گارد

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    محاسبه پارامترهای خط انتقال چند مداره با هر نوع باندل و هر تعداد سیم گارد

    با سلام
    دوستان ما توی یک پروژه باید پارامترهای خط انتقال 4 مداره با هر نوع باندل و تعداد سیم گارد حساب کنیم

    مهمترین درخواست من از دوستان کمک در رابطه با بدست آوردن پارامتر های خط انتقال 4 مداره از نظر روابط ریاضی
    هست
    با تشکر

    #2
    پاسخ : محاسبه پارامترهای خط انتقال 4 مداره

    سلام
    آقا بالاخره بعد از سالها ممارست وسختی ، حل شد :wow: :wow: :wow:

    دیدگاه


      #3
      پاسخ : محاسبه پارامترهای خط انتقال 4 مداره

      نوشته اصلی توسط mojtaba_led
      سلام
      آقا بالاخره بعد از سالها ممارست وسختی ، حل شد :wow: :wow: :wow:
      قبلا یه چیز دیگه هم نوشته بودیا!! پشیمون شدی یا درخواست ها بالا بود؟ :mrgreen:
      HELLO WORLD

      دیدگاه


        #4
        پاسخ : محاسبه پارامترهای خط انتقال چند مداره با هر نوع باندل و هر تعداد سیم گارد

        بله حق با شماست !

        اما دوست داشتم یک خورده بیشتر روی پروژه کار بشه و از اونجا که این پروژه یک کار گروهی بود باید
        رضایت دوستانم رو هم می گرفتم و یک گزارش که در اون چند مثال هم امده باشه بهش اضافه کنیم که
        به صورت یک راهنما برای استفاده از برنامه باشه !

        بفرمایید این هم لینک دانلود برنامه به همراه گزارش :
        http://www.persiangig.com/pages/down...Parameters.rar

        در ضمن مثال ها با برنامه POWER_LINEPARAM تست شده ونتایجش در داخل گزارش آمده

        به امید آنکه مورد توجه دوستان قرار گیرد!

        دیدگاه


          #5
          پاسخ : محاسبه پارامترهای خط انتقال چند مداره با هر نوع باندل و هر تعداد سیم گارد

          آقا ممنون
          اما انگار باید از هفت خوان رد شد :mrgreen: پسورد = ؟
          HELLO WORLD

          دیدگاه


            #6
            پاسخ : محاسبه پارامترهای خط انتقال چند مداره با هر نوع باندل و هر تعداد سیم گارد

            ببخشید !آ‌
            کار دوستانه دیگه!
            pass: Transmission Line's Parameters

            دیدگاه

            لطفا صبر کنید...
            X