اطلاعیه

Collapse
No announcement yet.

ساخت کتابخانه در vhdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ساخت کتابخانه در vhdl

    فرض کنید یک انتیتو و یک آرچیتکتر تعریف کردیم و اینو میخایم توی طرح برزگتر استفادس کنیم - چطور میتونیم اونو به کتابخانه تبدیل کنیم ؟ کتابخانه ورک منطورم نیست بلکه یه کتابخانه مستقل و با یک اسم مستقل - مرسی

    #2
    پاسخ : ساخت کتابخانه در vhdl

    نوشته اصلی توسط mahemorad
    فرض کنید یک انتیتو و یک آرچیتکتر تعریف کردیم و اینو میخایم توی طرح برزگتر استفادس کنیم - چطور میتونیم اونو به کتابخانه تبدیل کنیم ؟ کتابخانه ورک منطورم نیست بلکه یه کتابخانه مستقل و با یک اسم مستقل - مرسی
    سلام

    باید پکیج تعریف کنی و جدا گونه پکیج رو کامپایل میکنی-این پکیج داخل work قرار میگیره-به مثال زیر دقت کن-یک پکیج مینویسم و یک برنامه اصلی :

    اول پکیج :

    اسم تابع درونش sx2 هست که 3 تا عدد ورودی رو با هم جمع میکنه و اسم پکیج arith هست


    library ieee;
    use ieee.std_logic_1164.all;

    package arith is
    function sx2 (in1,in2,in3:in integer range 0 to 255) return integer;

    end;

    package body arith is


    function sx2 (in1,in2,in3:in integer range 0 to 255) return integer is
    variable res:integer range 0 to 1024;
    begin
    res:=in1+in2+in3;
    return res;
    end;

    end;




    برنامه اصلی :



    library ieee;
    use ieee.std_logic_1164.all;
    use work.arith.all;

    entity pk is
    port(
    a:in integer range 0 to 255;
    b:in integer range 0 to 255;
    c:in integer range 0 to 255;
    yut integer range 0 to 1024);
    end;

    architecture pk_beh of pk is


    begin
    y<=sx2(a,b,c);



    end;

    خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

    دیدگاه

    لطفا صبر کنید...
    X