اطلاعیه

Collapse
No announcement yet.

سوال در مورد core generator

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    سوال در مورد core generator

    سلام خدمت دوستان

    از بین اساتید کسی در مورد نحوه استفاده از core هایی که توسط core generator ایجاد میشه، اطلاعی داره که چطور میشه از اونا در بدنه برنامه استفاده کرد؟
    حضرت محمد (ص):بدانید،کسی که نمی داند نباید از آموختن شرم کند،که قیمت هر کس به قدر معلو مات اوست.

    #2
    پاسخ : سوال در مورد core generator

    فکر کنم این لینک بدردتون بخوره
    ftp://184.22.249.94/fpga/session_18.mkv

    دیدگاه


      #3
      پاسخ : سوال در مورد core generator

      اگه خیلی ساده و سریع بخوام جواب بدم (با نرم افزار xilinx ISE):
      روی پروژه کلیک راست می کنی و new source رو می زنی، بعدش از اون تو IP Core رو انتخاب میکنی
      تو صفحه بعدی هم اون core که میخواهی رو انتخاب می کنی و بعدش هم تنظیمات اون رو انجام میدی تا آخر و generate ری میزنی تا تولید بشه.
      حالا اون IP core تولید شده و تو لیست پروژه اومده.
      روش کلیک کن و از قسمت پایین CORE GENRATOR/View HDL Instatiation Template رو بزن تا برای instant کردن بهت کدش رو بده. بعدش اون رو تو برنامه اصلیت کپی پیست کن و ...

      دیدگاه


        #4
        پاسخ : سوال در مورد core generator

        سلام به همگی
        من چه جورد میتونم تو ise یه دوربین رو ران کنم . و رود lcd نشون بدم . برد spartan 3 دارم . این کار تو التیوم راحت ولی تو ise نمیدونم.
        بای

        دیدگاه


          #5
          پاسخ : سوال در مورد core generator

          نوشته اصلی توسط wonderboy_mfy
          اگه خیلی ساده و سریع بخوام جواب بدم (با نرم افزار xilinx ISE):
          روی پروژه کلیک راست می کنی و new source رو می زنی، بعدش از اون تو IP Core رو انتخاب میکنی
          تو صفحه بعدی هم اون core که میخواهی رو انتخاب می کنی و بعدش هم تنظیمات اون رو انجام میدی تا آخر و generate ری میزنی تا تولید بشه.
          حالا اون IP core تولید شده و تو لیست پروژه اومده.
          روش کلیک کن و از قسمت پایین CORE GENRATOR/View HDL Instatiation Template رو بزن تا برای instant کردن بهت کدش رو بده. بعدش اون رو تو برنامه اصلیت کپی پیست کن و ...
          سلام.
          من فعلا از ise9.1 استفاده می کنم. در این ورژن برای استفاده از IP Core ها این روالی که گفتید ظاهرا نمیشه انجام داد. یعنی تا new source را میزنم در صفحه ای که باز میشه گزینه IP Core وجود نداره! یعنی باید مثل شکل صفحه 6 این pdf :
          http://www.eca.ir/forum2/index.php?topic=35120.0
          گزینه IP Core را انتخاب کنیم، که ما در این ورژن نداریم oo:
          البته ورژن 13.1 را دارم ولی هنوز نصب نکردم . می خوام بدونم استفاده از IP Core در این ورژن 9.1 چطوریه؟
          البته در فیلم 18 از اقای صدری :
          ftp://184.22.249.94/fpga/session_18.mkv
          را دیگری رفته به این صورت که در Run میزنیم: coregen که صفحه Xilinx Core Generator می آید و ...
          فيلم آموزشي ميکروکنترلر LPC1768
          فيلم آموزشي تکميلي و پيشرفته ميکروکنترلر LPC1768
          فيلم آموزش کاربردی زبان سی در میکروکنترلر ARM
          فیلم آموزش مقدماتی میکروکنترلر LPC1788 به زودی ...

          دیدگاه


            #6
            پاسخ : سوال در مورد core generator

            اینجا را میگم تا new source را میزنم در صفحه ای که باز میشه گزینه IP Core وجود نداره:

            کسی با این مورد مواجه نشده؟
            فيلم آموزشي ميکروکنترلر LPC1768
            فيلم آموزشي تکميلي و پيشرفته ميکروکنترلر LPC1768
            فيلم آموزش کاربردی زبان سی در میکروکنترلر ARM
            فیلم آموزش مقدماتی میکروکنترلر LPC1788 به زودی ...

            دیدگاه


              #7
              پاسخ : سوال در مورد core generator

              نوشته اصلی توسط mzarkoob
              کسی با این مورد مواجه نشده؟
              خوب حتما نشده دیگه !!! :eek:
              فيلم آموزشي ميکروکنترلر LPC1768
              فيلم آموزشي تکميلي و پيشرفته ميکروکنترلر LPC1768
              فيلم آموزش کاربردی زبان سی در میکروکنترلر ARM
              فیلم آموزش مقدماتی میکروکنترلر LPC1788 به زودی ...

              دیدگاه


                #8
                پاسخ : سوال در مورد core generator

                من با ISE9.2 کار میکنم.اولین گزینه اش داره ها!!!!!!!

                دیدگاه


                  #9
                  پاسخ : سوال در مورد core generator

                  نوشته اصلی توسط پوریا علی نژاد
                  من با ISE9.2 کار میکنم.اولین گزینه اش داره ها!!!!!!!
                  سلام
                  یعنی نسخه 9.1 نداره نسخه 9.2 داره!!؟
                  میشه یه عکس بذارید ببینم چطوریه؟
                  با تشکر
                  فيلم آموزشي ميکروکنترلر LPC1768
                  فيلم آموزشي تکميلي و پيشرفته ميکروکنترلر LPC1768
                  فيلم آموزش کاربردی زبان سی در میکروکنترلر ARM
                  فیلم آموزش مقدماتی میکروکنترلر LPC1788 به زودی ...

                  دیدگاه


                    #10
                    پاسخ : سوال در مورد core generator

                    نوشته اصلی توسط mzarkoob
                    سلام
                    یعنی نسخه 9.1 نداره نسخه 9.2 داره!!؟
                    میشه یه عکس بذارید ببینم چطوریه؟
                    با تشکر
                    بهله اینم عکسش. :job:

                    دیدگاه


                      #11
                      پاسخ : سوال در مورد core generator

                      نوشته اصلی توسط mojtaba jan
                      سلام به همگی
                      من چه جورد میتونم تو ise یه دوربین رو ران کنم . و رود lcd نشون بدم . برد spartan 3 دارم . این کار تو التیوم راحت ولی تو ise نمیدونم.
                      بای
                      سلام میشه بگید توی آلتیوم چطوری با FPGA کار میکنید؟

                      دیدگاه


                        #12
                        پاسخ : سوال در مورد core generator

                        نوشته اصلی توسط mzarkoob
                        سلام
                        یعنی نسخه 9.1 نداره نسخه 9.2 داره!!؟
                        میشه یه عکس بذارید ببینم چطوریه؟
                        با تشکر
                        دوست عزیز خسته نباشید
                        می خواستم بدونم شما بالاخره نتیجه گرفتید ؟
                        مردود شدن بهتر از با تقلب قبول شدن است

                        دیدگاه

                        لطفا صبر کنید...
                        X