اطلاعیه

Collapse
No announcement yet.

نحوه اجرای عملیات ریاضی روی اعداد اعشاری در ؟VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    نحوه اجرای عملیات ریاضی روی اعداد اعشاری در ؟VHDL

    نحوه ضرب و جمع اعداد اعشاری در VHDL چه جوریه؟
    می بینیم و نادیده می گیریم!

    #2
    پاسخ : نحوه اجرای عملیات ریاضی روی اعداد اعشاری در ؟VHDL

    نوشته اصلی توسط Mohsen P
    نحوه ضرب و جمع اعداد اعشاری در VHDL چه جوریه؟
    اگه برای کارهای واقعی و حرفه ای میخوای باید ماژول مربوط به هر کدوم رو بخری یا تو سایت Xilinx یا هر جای دیگه بگردی یه ماژول معتبر پیدا کنی .
    دعایم کنید که شاید به واسطه ی دعای خیر شما مشکلاتم حل شود .

    دیدگاه

    لطفا صبر کنید...
    X