اطلاعیه

Collapse
No announcement yet.

matrix in VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    matrix in VHDL

    واسه نوشتن چنین ماتریسی آیا باید اعداد را به باینری تبدیل کنم :
    [H=[0 25 13 1; 9 12 90 14;2 6 8 0
    دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

    #2
    پاسخ : matrix in VHDL

    [quote=seda...]
    واسه نوشتن چنین ماتریسی آیا باید اعداد را به باینری تبدیل کنم :
    [H=[0 25 13 1; 9 12 90 14;2 6 8 0
    [/quote]

    سلام،
    نه نیازی نیست، تو لینک پایین یک بلاک تعریف شده که زاویه رو به عنوان ایندکس میگیره و Cos اون رو بر میگردونه که همه Integer هستن.
    اونجا یک آرایه یک بعدی هست، اگر به آرایه چند بعدی نیاز دارین، کافیه که Type ها رو اضافه کنید، یعنی Type1 از نوع آرایه ای از Integer،
    Type2 از نوع آرایه ای از Type1 و تا تعداد ابعادی که لازم دارین این کار رو ادامه بدید و متغییر آخر رو از نوع آخر تعریف کنید.
    http://www.eca.ir/forum2/index.php?t...0645#msg310645
    اسمایل، تومورو ویل بی وُرس

    دیدگاه


      #3
      پاسخ : matrix in VHDL

      سلام .من منظورتون را از تابع cos نفهمیدم برای چه استفاده میشه؟
      دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

      دیدگاه

      لطفا صبر کنید...
      X