اطلاعیه

Collapse
No announcement yet.

شروع کار عملی با fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    شروع کار عملی با fpga

    سلام.

    من دارم با کتاب زبان vhdl رو یاد میگیرم.
    اما نمیدونم چرا تو هیچ کتابی درباره اینکه چطور میشه یک fpga رو راه انداخت چیزی ننوشته.
    شماتیکی هم از یه مدار ساده با fpga پیدا نکردم.

    مساله بعدی انتخاب یه مدل fpga هست که هم تو بازار باشه , هم قیمتش مناسب باشه و هم برای کارهای یه مبتدی مناسب باشه (بشه چند هزار باری پاکش کرد و دوباره پروگرمش کرد تا بلکه هم یه چیزی یاد بگیریم :mrgreen.
    مورد بعدی پروگرم کردن fpga هست که باز اون هم نمیدونم چطوری انجام میشه (راه ارزون قیمتی وجود داره یا نه :mrgreen.

    منتظرم . . .

    ممنون.
    الهم عجل لولیک الفرج

    #2
    پاسخ : شروع کار عملی با fpga

    منم دقیقا همین سوالات رو دارم ! :mrgreen:

    دیدگاه


      #3
      پاسخ : شروع کار عملی با fpga

      نوشته اصلی توسط Iran-PC
      سلام.

      من دارم با کتاب زبان vhdl رو یاد میگیرم.
      اما نمیدونم چرا تو هیچ کتابی درباره اینکه چطور میشه یک fpga رو راه انداخت چیزی ننوشته.
      شماتیکی هم از یه مدار ساده با fpga پیدا نکردم.

      مساله بعدی انتخاب یه مدل fpga هست که هم تو بازار باشه , هم قیمتش مناسب باشه و هم برای کارهای یه مبتدی مناسب باشه (بشه چند هزار باری پاکش کرد و دوباره پروگرمش کرد تا بلکه هم یه چیزی یاد بگیریم :mrgreen.
      مورد بعدی پروگرم کردن fpga هست که باز اون هم نمیدونم چطوری انجام میشه (راه ارزون قیمتی وجود داره یا نه :mrgreen.

      منتظرم . . .

      ممنون.
      با سلام خدمت اساتید گرامی

      برای یک شروع خوب ، مناسبترین گزینه ، استفاده از محصولات شرکت ALTERA است . و مناسبترین از نظر قیمت و تعداد پین مدل MAX 27128 S

      و نرم افزار MAXPLUS2 ، که با استفاده از این نرم افزار ، هم بصورت شماتیک میتونید یه مدار دیجیتال طراحی کنید و هم با VHDL یا AHDL .................

      مورد دیگری اگه هست بفرمایید .

      دیدگاه


        #4
        پاسخ : شروع کار عملی با fpga

        خیلی ممنون .
        قیمت MAX 27128 S چند هست حدودا ؟
        پروگرام برای این قطعات چی وجود داره ؟ کدوم مورد رو پیشنهاد ممیکنید؟ قیمتش چنده ...؟ :redface:

        متشکر

        دیدگاه


          #5
          پاسخ : شروع کار عملی با fpga

          نوشته اصلی توسط امیرعلی بلورچیان
          خیلی ممنون .
          قیمت MAX 27128 S چند هست حدودا ؟
          پروگرام برای این قطعات چی وجود داره ؟ کدوم مورد رو پیشنهاد ممیکنید؟ قیمتش چنده ...؟ :redface:

          متشکر
          قیمتش بروز MAX 7000 27128 s، رو از ایران الکترونیک جویا شوید
          پروگرامرش فقط یه 74LS244 و دو سه تا مقاومت و خازن ، یه 40 سانتیمتری کابل فلات 6 رشته و یه سوکت PLCC 84 .

          دیدگاه


            #6
            پاسخ : شروع کار عملی با fpga

            متشکرم
            چون من تبریز هستم دنبال یک حدود قیمت برای IC هاش هستم .....

            آیا مداری برای پروگرامرش سراغ دارین ؟ لینکی یا موردی که خودتون ازش استفاده میکنید...

            دیدگاه


              #7
              پاسخ : شروع کار عملی با fpga

              جناب معیری خیلی ممنون از جوابهات.
              حالا که شما کار کردی این سوالات ما رو هم لطف کن و جواب بده.
              یه سری از پایه های این آیسی ها باید به یه چیزهایی وصل بشند.
              حالا vcc و gnd که معلومه چیه , اما یه سری پایه دیگه هم هست که نمیدونم باید به چی و چطوری وصل بشه.
              در ضمن کلاک این آیسی چطور تامین میشه.
              اگر کریستال میخواد نحوه نصبش رو هم بگید خیلی ممنون میشم.
              الهم عجل لولیک الفرج

              دیدگاه


                #8
                پاسخ : شروع کار عملی با fpga

                نوشته اصلی توسط امیرعلی بلورچیان
                متشکرم
                چون من تبریز هستم دنبال یک حدود قیمت برای IC هاش هستم .....

                آیا مداری برای پروگرامرش سراغ دارین ؟ لینکی یا موردی که خودتون ازش استفاده میکنید...
                با سلام خدمت حضرات اساتید.

                **یک توضیح و عذرخواهی ، اسم و شماره FPGA اشتباه تایپ شده بود>>> MAX 7000 27128 s <<< ، که بدینوسیله عذرخواهی و شماره آن تصحیح میگردد **

                E P M 7 1 2 8 S L C 8 4 - 15

                byteblaster parallel port download cable datasheet رو DOWNLOAD بفرمایید

                شماتیک پروگرامری که بنده از اون استفاده میکنم .

                توضیحات پایه ها




                نوشته اصلی توسط Iran-PC
                ...................سوالات ما ......
                یه سری از پایه های این آیسی ها باید به یه چیزهایی وصل بشند.

                حالا vcc و gnd که معلومه چیه , اما یه سری پایه دیگه هم هست که نمیدونم باید به چی و چطوری وصل بشه.

                در ضمن کلاک این آیسی چطور تامین میشه....اگر کریستال میخواد .................
                تمامی پایه های VCCIO output driverو VCCINT internal logic & input buffer به 5V+

                تمامی پایه های GND به GND منبع تغذیه

                خازنهای کوپلینگ 100nF برای پینهای vcc و gnd مجاور .

                **در ضمن کلاک این آیسی چطور تامین میشه.؟اگر کریستال میخواد .................؟**
                در این چیپ برای راه اندازی، هیچ گونه پالس ، کلاک و یا کریستالی مورد نیاز نیست در نظر بگیرید که بطور مثال مدار طراحی شده شما تشکیل شده از یک مالتی پلکسور 4/16 ، یک پورت 74ls244، یک .... اصلا در موقع طراحی ، فقط روی شماتیک مدار لاجیک در مکس پلاس تمرکز کنید ، پس از پایان طراحی و کامپایل طرح ، نرم افزار مکس پلاس ، در صورت نیاز به کلاک در ورودی مخصوص ، آن مورد را به شما گزارش خواهد نمود . در کل ، کلاک به طراحی مدار لاجیک شما برمیگردد . اگر در مدار شما ، به یک منبع ورودی پالس نیاز هست ، که میتونید اون ورودی رو ( مثلا خروجی پالس LM555 timer) به هر یک از پایه های GENERAL I/O روی این چیپ وصل نمایید **** ولی برای جلوگیری از نویزهای ناخواسته و برای اسنفاده از سرعت بالا بین مدارات داخل چیپ، تعدادی پین صرفا ورودی ، در این چیپ تعبیه شده از جمله CLOCK - ENABLE - RESET - ... که در صورت عدم استفاده از این پینها ، باید آنها را به GND وصل نمایید .*****

                دیتا شیت E P M 7 1 2 8 S L C 8 4 - 15 رو حتما از سایت ALTERA دریافت و مطالعه بفرمایید.
                اگر ابهامی در عرایض بنده مشاهده میشود ، بفرمایید تا واضحتر عرض کنم.





                دیدگاه


                  #9
                  پاسخ : شروع کار عملی با fpga

                  سلام.
                  خیلی ممنون.
                  ابهام که نیست.
                  اما یه سوالی برام پیش میاد.
                  دستورات وقفه ای که تو برنامه ازش استفاده میشه , چطور زمان زو محاسبه میکنه؟
                  مثلا
                  A <= B after 50us
                  آیسی از کجا میفهمه که این 50 نانو ثانیه سپری شده , وقتی کلاک نداره؟
                  الهم عجل لولیک الفرج

                  دیدگاه


                    #10
                    پاسخ : شروع کار عملی با fpga

                    نوشته اصلی توسط Iran-PC
                    سلام.
                    خیلی ممنون.
                    ابهام که نیست.
                    اما یه سوالی برام پیش میاد.
                    دستورات وقفه ای که تو برنامه ازش استفاده میشه , چطور زمان زو محاسبه میکنه؟
                    مثلا
                    A <= B after 50us
                    آیسی از کجا میفهمه که این 50 نانو ثانیه سپری شده , وقتی کلاک نداره؟
                    این موردی که فرمودید بر میگرده به خاصیت تاخیر در انتشار گیتهای قطعه ، که با درج مثلا A <= B after 50ns ، یعنی اگر ورودی A مثلا مقدار X بخود گرفت ، خروجی B پس از 50 نانوثانیه تغییر حالت بده . نرم افزار مکس پلاس ، مسیر ورودی ها و خروجی های گیت های داخل FPGA رو بطوری طراحی میکنه که این تاخیر از شروع زمان ایجاد حالت X در ورودی A ، تا خروجی آخرین گیت ( پین تعریف شده B خروجی ) ، 50 نانوثانیه طول بکشه .
                    امیدوارم تونسته باشم منظور رو برسونم .

                    دیدگاه


                      #11
                      پاسخ : شروع کار عملی با fpga

                      سلام امیر جان
                      آیا این شماتیک پروگرامر را که گذاشتی درایور هم دارد ؟

                      دیدگاه


                        #12
                        پاسخ : شروع کار عملی با fpga

                        نوشته اصلی توسط roohollah36
                        سلام امیر جان
                        آیا این شماتیک پروگرامر را که گذاشتی درایور هم دارد ؟
                        با سلام

                        بله ، این مدار اینترفیس پروگرامر ALTERA BYTE BLASTER است و با نرم افزار MAXPLUS2 کار میکند .

                        دیدگاه


                          #13
                          پاسخ : شروع کار عملی با fpga

                          نوشته اصلی توسط amir_moayeri


                          این موردی که فرمودید بر میگرده به خاصیت تاخیر در انتشار گیتهای قطعه ، که با درج مثلا A <= B after 50ns ، یعنی اگر ورودی A مثلا مقدار X بخود گرفت ، خروجی B پس از 50 نانوثانیه تغییر حالت بده . نرم افزار مکس پلاس ، مسیر ورودی ها و خروجی های گیت های داخل FPGA رو بطوری طراحی میکنه که این تاخیر از شروع زمان ایجاد حالت X در ورودی A ، تا خروجی آخرین گیت ( پین تعریف شده B خروجی ) ، 50 نانوثانیه طول بکشه .
                          امیدوارم تونسته باشم منظور رو برسونم .
                          سلام.
                          خیلی ممنون از جوابهاتون.
                          یه سوال دیگه برام پیش اومد.
                          با این شرایطی که فرمودید.
                          اگر بخواهیم مثلا یک وقفه یک ثانیه ای درست کنیم , با آی سی که زمان انتشارش 10 نانو ثانیه هست , اونوقت باید 100 ملیون اتفاق رخ بده؟
                          ایجاد همچین وقفه ای , از امکانات آیسی کم نمیکنه؟
                          الهم عجل لولیک الفرج

                          دیدگاه


                            #14
                            پاسخ : شروع کار عملی با fpga

                            نوشته اصلی توسط Iran-PC
                            یه سوال دیگه برام پیش اومد.
                            با این شرایطی که فرمودید.
                            اگر بخواهیم مثلا یک وقفه یک ثانیه ای درست کنیم , با آی سی که زمان انتشارش 10 نانو ثانیه هست , اونوقت باید 100 ملیون اتفاق رخ بده؟
                            ایجاد همچین وقفه ای , از امکانات آیسی کم نمیکنه؟
                            با سلام
                            عرض کنم ، این مقوله در رابطه با تاخیر های بسیار کوچک و در حد نانوثانیه و آن هم با استفاده از خاصیت فیزیکی تاخیر گیتها و یا طول سیمهای ارتباطی گیتها در VHDL در نظر گرفته شده و برای تاخیر های زیاد ، نظیر ثانیه و یا دقیقه و .... ، منطقیست که از شمارنده ها ، استفاده شود .

                            http://www.ece.neu.edu/info/vhdl/Unit2_Part3/Unit2_Part3.html#T7
                            http://www.csee.umbc.edu/help/VHDL/samples/samples.html
                            http://www.phx.devry.edu/fac/miller/vhdl/vhdl_index.htm

                            دیدگاه


                              #15
                              پاسخ : شروع کار عملی با fpga

                              فکر کنم که FPGA ها از 2 تکنولوژی SRAM , Fusebit استفاده می کنند وباید براس پروگرام کردنشون از میکرو استفاده کرد (در مورد SRAM) و در مورد Fuse bit فقط یکبار میشه انرا پروگرام کرد
                              برای شروع و اینکه بخواهید تمرین کنید بهتر از CPLD ها استفاده کنید چون انها از تکنولوژی FLASH استفاده می کنند
                              نمیدونم چی بگم
                              نکاتی در رابطه با Altium Designer 6.9
                              http://www.eca.ir/forum2/index.php?topic=42247.15

                              دیدگاه

                              لطفا صبر کنید...
                              X