اطلاعیه

Collapse
No announcement yet.

خطا در اجرای برنامه Nonresolved signal has multiple sourcesl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    خطا در اجرای برنامه Nonresolved signal has multiple sourcesl

    سلام .
    من هنگام compile کردن برنامه به چنین خطایی مواجه میشم
    برنامه :
    کد:
    	library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    use ieee.std_logic_arith.all;
    package my_package is
    	 constant p:integer:=96;
    	 constant z:integer:=96;
    	 constant max_value:integer:=127;
    	type matrix1x8  is array (1 to 8) of integer range -127 to 127;
    	type matrix1152x8 is array (1 to 1152) of matrix1x8;
    	type matrix1x1152i is array (1 to 1152) of integer range -127 to 127;
        type matrix1x1152b8 is array( 1 to 1152) of bit_vector(1 to 8);
    end my_package;
    -----------------------------------------------------------------
    
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.NUMERIC_STD.ALL;
    use ieee.std_logic_arith.all;
    use work.my_package.all;
    entity first_stage is 
    port (clk:in bit;M:in matrix1152x8;
    		 out_1:out matrix1x1152i;
    		 sign_value:out matrix1x1152b8);
    end ;
    architecture behave of first_stage is 
    signal i:integer range -127 to 127:=1;
    signal M_1,M_2 :matrix1x8;
    signal out_01 ,out_02 : integer;
    
    signal sign_value_01,sign_value_02:bit_vector(1 to 8);
    
    begin
    	M_1<=M(1+(i-1)*p);
    	M_2<=M(2+(i-1)*p);
    	
    	out_1(01+(i-1)*p)<=out_01;
    	out_1(02+(i-1)*p)<=out_02;
    	
    	 
    	sign_value(1+(i-1)*p)<=sign_value_01;
    	sign_value(2+(i-1)*p)<=sign_value_02;
     
    --	process (clk_CNP)
    --	--begin
    	--if clk_CNP'event and clk_CNP='1' then
    	--	i<=i+1;	
    	--	end if;
    	--end process;
    end;
    و خطای داده شده
    کد:
    ** Error: C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(22): Nonresolved signal 'out_1' has multiple sources.
     Drivers:
      C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(36):Conditional signal assignment line__36
      C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(37):Conditional signal assignment line__37
    ** Error: C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(23): Nonresolved signal 'sign_value' has multiple sources.
     Drivers:
      C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(40):Conditional signal assignment line__40
      C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(41):Conditional signal assignment line__41
    ** Error: C:/modeltech_6.4/examples/test/cnp_first_stage.vhd(49): VHDL Compiler exiting
    کسی میتونه بگه چطور می تونم خطا را رفع کنم
    دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

    #2
    پاسخ : خطا در اجرای برنامه Nonresolved signal has multiple sourcesl

    سلام
    این مشکل موقعی رخ می دهد که شما یک سیگنال یا پورت را همزمان به بیش از یک مدار یا سیگنال که با هم سنخیت ندارند وصل کنید. مثلا یک سیگنال را هم به زمین وصل کنید و هم به ورودی یک مدار دیگر.
    در مورد out_1 همین اتفاق افتاده . شما آن را به دو جا و همزمان متصل کردید که با هم سنخیت ندارند
    برای حل این مشکل باید در برنامه تعیین کنید که out_1 در چه صورت به out_01 و در چه صورت به out_02 متصل شود.
    یک نکته : در برنامه نویسی HDL در واقع ما با مدارات سروکار داریم نه با عبارات دستوری. مثلا وقتی یک متغیر را مقدار دهی می کنید در واقع یک مدار را به مدار دیگر وصل می کنید. بنابراین در کد نویسی باید دید مداری داشت

    دیدگاه


      #3
      پاسخ : خطا در اجرای برنامه Nonresolved signal has multiple sourcesl

      سلام
      out_1 یک ماتریس دو سطری است
      که هر سطر را به یک سیگنال می دهد .
      نباید چنین خطایی بده.
      دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

      دیدگاه


        #4
        پاسخ : خطا در اجرای برنامه Nonresolved signal has multiple sourcesl

        مشکل حل شد.پورت های خروجی هر component باید در داخل پروسه انتقال داده شوند
        دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم

        دیدگاه

        لطفا صبر کنید...
        X