اطلاعیه

Collapse
No announcement yet.

کد vhdl برای چند برابر کردن فرکانس ورودی

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    کد vhdl برای چند برابر کردن فرکانس ورودی

    سلام

    من یک clock multiplier ( چند برابر کننده فرکانس) احتیاج دارم که 20 برابر فرکانس سیگنال ورودی ( که در رنج 0.1 هرتز تا 100 کیلو هرتز هست) رو ایجاد کنه. می خواستم از pll های داخل fpga (spartan3) استفاده کنم ولی رنج فرکانسی شون بالاست . یک سری آی سی های clock multiplier هم هست که باز هم تو فرکانس های در حد مگاهرتز کار می کنن.
    می خواستم بدونم می شه کد vhdl برای pll یا clock multiplier نوشت که تو این فرکانس جواب بده؟

    #2
    پاسخ : کد vhdl برای چند برابر کردن فرکانس ورودی

    کسی نیست کمک کنه ؟!!! :sad: :angry:

    دیدگاه

    لطفا صبر کنید...
    X