با عرض سلام
دوستان میشه کمک کنید تا مشکل کد رو پیدا کنم؟
وقتی implement design رو می زدم، میگفت که متغییر ها مقدار اولیه ندارن، بعد ار اصلاح ، این warning ها رو میده(ise 11)
WARNING:Xst:1293 - FF/Latch <is_end_FSM_FFd1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <temp_addr_SRAM_10> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_9> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_8> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_7> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_6> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_5> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_4> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_3> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_2> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_1> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_0> of sequential type is unconnected in block <main>.
WARNING:Xst:1293 - FF/Latch <is_end_FSM_FFd2> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_0> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_1> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_2> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_3> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_4> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_5> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_6> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_7> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_8> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_9> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_10> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <LED> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
در حالی که هیج error ای نمیاد.
این هم کد های من: ( http://uppp.ir/new_v/do.php?filename=13432200371.zip )
:rolleyes:
دوستان میشه کمک کنید تا مشکل کد رو پیدا کنم؟
وقتی implement design رو می زدم، میگفت که متغییر ها مقدار اولیه ندارن، بعد ار اصلاح ، این warning ها رو میده(ise 11)
WARNING:Xst:1293 - FF/Latch <is_end_FSM_FFd1> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <temp_addr_SRAM_10> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_9> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_8> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_7> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_6> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_5> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_4> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_3> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_2> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_1> of sequential type is unconnected in block <main>.
WARNING:Xst:2677 - Node <temp_addr_SRAM_0> of sequential type is unconnected in block <main>.
WARNING:Xst:1293 - FF/Latch <is_end_FSM_FFd2> has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_0> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_1> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_2> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_3> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_4> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_5> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_6> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_7> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_8> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_9> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <A_10> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <LED> (without init value) has a constant value of 0 in block <main>. This FF/Latch will be trimmed during the optimization process.
در حالی که هیج error ای نمیاد.
این هم کد های من: ( http://uppp.ir/new_v/do.php?filename=13432200371.zip )
کد:
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 00:00:48 07/24/2012 -- Design Name: -- Module Name: main - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity main is Port ( A : out STD_LOGIC_VECTOR (10 downto 0); D : inout STD_LOGIC_VECTOR (3 downto 0); clk : in STD_LOGIC; RAS : out STD_LOGIC; CAS : out STD_LOGIC; W : out STD_LOGIC; OE : out STD_LOGIC; LED : out STD_LOGIC; reset : in STD_LOGIC); end main; architecture Behavioral of main is signal count : std_logic_vector(10 downto 0); signal clk_SRAM: std_logic; begin process(clk) begin if clk'event and clk='1' then count<=count+'1'; end if; end process; clk_SRAM<=count(10); process(clk_SRAM, reset) variable temp_addr_SRAM: std_logic_vector(10 downto 0):="00000000000"; -- µطض·±نء؟ variable data_in: std_logic_vector(3 downto 0):="0000"; -- ت¾ف±نء؟ variable state: integer range 0 to 6 :=0; -- ×´ج¬±نء؟ variable is_end: integer range 0 to 2 :=0; -- ذ´ت¾ف½لتّ±êض¾ begin if clk_SRAM'event and clk_SRAM='1' then if reset='1' then -- ¸´خ» is_end:=0; -- ذ´½لتّ±êذ¾³ُت¼»¯ temp_addr_SRAM:=(others=>'0'); a<= (others=>'0'); LED<='0'; w<='1'; oe<='1'; ras<='1'; cas<='1'; is_end :=0; state:=0; -- ×´ج¬±نء؟³ُت¼»¯ else if is_end=0 then -- ذ´ت¾فأ»سذ½لتّ case state is when 0 => temp_addr_SRAM:=(others=>'0'); -- µطض·³ُت¼»¯ when 1 => cas<='1'; ras <='1'; a<=temp_addr_SRAM; state:=2; when 2 => ras<='0'; oe <='1'; w <='0'; state:=3; -- بôFIFO؟ص£¬بشخھ×´ج¬0£¬µب´ when 3 => a<="00000000000"; d<="1001"; cas<='0'; state:=4; when 4 => cas<='1'; ras<='1'; state:=5; -- ×ھµ½×´ج¬3 when 5 => ras<='0'; state:=6; -- ×ھµ½×´ج¬4 when 6 => temp_addr_SRAM:=temp_addr_SRAM+1; -- µطض·±نء؟¼س1 state:=1; -- ×ھµ½×´ج¬0 if temp_addr_SRAM="00000000100" then -- زرذ´آْ is_end:=1; -- ذ´½لتّ±êض¾ضأخ» led<='0'; -- LED °µ state:=0; end if; end case; elsif is_end = 1 then case state is when 0 => temp_addr_SRAM:=(others=>'0'); -- µطض·³ُت¼»¯ when 1 => cas<='1'; ras <='1'; a<=temp_addr_SRAM; state:=2; when 2 => ras<='0'; oe <='0'; w <='1'; state:=3; -- بôFIFO؟ص£¬بشخھ×´ج¬0£¬µب´ when 3 => a<="00000000000"; cas<='0'; state:=4; when 4 => cas<='1'; ras<='1'; data_in:= d; state:=5; -- ×ھµ½×´ج¬3 when 5 => ras<='0'; state:=6; -- ×ھµ½×´ج¬4 when 6 => temp_addr_SRAM:=temp_addr_SRAM+1; -- µطض·±نء؟¼س1 state:=1; -- ×ھµ½×´ج¬0 if temp_addr_SRAM="00000000100" then -- زرذ´آْ is_end:=2; -- ذ´½لتّ±êض¾ضأخ» led<='1'; -- LED °µ end if; end case; end if; end if; end if; end process; end Behavioral;
:rolleyes:
دیدگاه