اطلاعیه

Collapse
No announcement yet.

سنتز کردن برنامه vhdl در متلب

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    سنتز کردن برنامه vhdl در متلب

    سلام .کسی میدونه چه طوری از طریق متلب به برنامه vhdl ورودی داد و نتایج را دوباره در متلب سنتز کرد؟
    دنيا آنقدر وسيع هست که براي همه مخلوقات جايي باشد پس به جاي آنکه جاي کسي را بگيريم تلاش کنيم جاي واقعي خود را بيابيم
لطفا صبر کنید...
X