اطلاعیه

Collapse
No announcement yet.

سوال در مورد برنامه نویسی fpga با زبان vhdl

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    سوال در مورد برنامه نویسی fpga با زبان vhdl

    سلام دوستان
    یه چنتا سوال در مورد برنامه نویسی fpga داشتم ازتون :rolleyes:
    1. قدر مطلق تو vhdl چه جوری گرفته میشه ؟
    2. دستور خاصی برای پیدا کردن max توی یک آرایه و شماره اندیس مقدار max توی اون آرایه با تعداد عضوهای کمتر از 15 تا هستش ؟
    3. چه جوری میشه مثلا یک آرایه 200 تایی رو به ترتیب به آرایه های کوچکتر مثلا 7 تا تقسیم کرد ؟ یعنی اول یه آرایه 7 تایی جدا کنه بعدش یه آرایه 7 تایی دیگه و همینطوری ادامه بده تا تموم عضوهای آرایه تموم بشه ؟
    4. من میخوام یه سری اعداد با 4 رقم اعشار رو در اعداد دیگه ضرب کنم. شنیدم فرمت real واسه سنتز ساپورت منیشه . پیشنهادتون چیه ؟ مثل کدویژن کتابخونه خاصی داره که دانلود کنیم و تو قسمت library برنامه بزاریم و کارو تدامه بدیم ؟
    سوالام کمی زیاد شد. !!!!!! :biggrin:
    مرسی از کمکتون.
    من همه کدهارو واسه vhdl میخوام.
    ---------
    .
    .
    ...........

    #2
    پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

    تا اونجا که من توی کتاب Pedroni خوندم تابع خاصی واسه نیازهای شما تعریف نشده اینه که راهی جز نوشتن دستی دستورات نداری ... بعید می دونم نوشتن کد های مورد نیاز تو اونقدرها وقت گیر باشه !

    دیدگاه


      #3
      پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

      خیلی ممنونم
      جواب سه تا سوال اول رو گرفتم.
      یعنی خودم کداشو نوشتم.
      فقط موند آخری
      ---------
      .
      .
      ...........

      دیدگاه


        #4
        پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

        نوشته اصلی توسط ajapyy
        خیلی ممنونم
        جواب سه تا سوال اول رو گرفتم.
        یعنی خودم کداشو نوشتم.
        فقط موند آخری
        سلام
        ببین عزیز خود Xilinx بسیاری از کارهایی که ما میخوایم رو قبلا انجام داده و به صورت IP Core های آماده درآورده. از این IP ها توی نرم افزار های ISE، Altium Designer، Synplify و ... میشه استفاده کرد. با نصب ISE میتونی از این IP ها (که همراه خود نرم افزار نصب میشن) استفاده کنی.

        برای ضرب اعداد اعشاری هم یه IP به نام Multiplier وجود داره. هم fixed point داره و هم float. پیشنهاد من استفاده از fixed point هست. البته پیش خودت باید قرارداد کنی چند بیت به قسمت صحیح و چند بیت به قسمت اعشار اختصاص دادی. IP ش رو پیدا کن تو ISE بازم سوالی داشتی بپرس

        دیدگاه


          #5
          پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

          سلام من برنامه ledچشمکزن بازبانvhdlلازم دارم باic xc9572xlواموزش iseمربوط به اون لطفا کمکم کنید تا8/15 وقت دارم

          دیدگاه


            #6
            پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

            نوشته اصلی توسط سام2
            سلام من برنامه ledچشمکزن بازبانvhdlلازم دارم باic xc9572xlواموزش iseمربوط به اون لطفا کمکم کنید تا8/15 وقت دارم
            یه کلاک 16 مگاهرتز بده به المان و یک کانتر 24 بیتی تعریف کن-پین 23 رو بگیر بده به یک پین از xc95 همین
            خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

            دیدگاه


              #7
              پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

              .

              دیدگاه


                #8
                پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

                باسلام ipcore چی هست ؟ شبیه کتاب خونست.
                تو محیط ise وجود داره؟
                pdf از اون ندارید؟

                دیدگاه


                  #9
                  پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

                  نوشته اصلی توسط S.R.F
                  باسلام ipcore چی هست ؟ شبیه کتاب خونست.
                  تو محیط ise وجود داره؟
                  pdf از اون ندارید؟
                  ipcore یا کلا Core یک تکه کد از زبان توصیف سخت افزار هست که بصورت آماده یا قبلا نوشته شده وجود داره
                  مثلا برای آی سی ft232 یک core وجود داره-که همون آی سی رو در حوزه کد قرار داده- یا حتی Core های avr و arm هم وجود داره که البته فروشی هست
                  ipcore های آماده ایی در ISE وجود داره که می تونید ازشون استفاده کنید
                  خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

                  دیدگاه


                    #10
                    پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

                    نوشته اصلی توسط حمید نجفی
                    ipcore یا کلا Core یک تکه کد از زبان توصیف سخت افزار هست که بصورت آماده یا قبلا نوشته شده وجود داره
                    مثلا برای آی سی ft232 یک core وجود داره-که همون آی سی رو در حوزه کد قرار داده- یا حتی Core های avr و arm هم وجود داره که البته فروشی هست
                    ipcore های آماده ایی در ISE وجود داره که می تونید ازشون استفاده کنید
                    مرسی
                    بصورت شماتیکی وارد طرح میشود؟
                    یا بصورت کد مثلا داخل ?vhdl

                    دیدگاه


                      #11
                      پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

                      نوشته اصلی توسط S.R.F
                      مرسی
                      بصورت شماتیکی وارد طرح میشود؟
                      یا بصورت کد مثلا داخل ?vhdl
                      هم بصورت شماتیک داریم و هم بصورت تکه کد که به عنوان Component به برنامه VHDL اصلی اضافه میشه
                      خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

                      دیدگاه


                        #12
                        پاسخ : سوال در مورد برنامه نویسی fpga با زبان vhdl

                        دیزی چین جیست؟

                        دیدگاه

                        لطفا صبر کنید...
                        X