اطلاعیه

Collapse
No announcement yet.

پروژه ضرب کننده بوت چهار بیتی با fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    پروژه ضرب کننده بوت چهار بیتی با fpga

    سلام.تو رو خدا هر کی این برنامه رو داره بزاره.

    #2
    پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

    نوشته اصلی توسط 4840008477
    سلام.تو رو خدا هر کی این برنامه رو داره بزاره.
    این خوبه :



    library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

    entity BoothMult4 is
    port(A, B: in std_logic_vector(3 downto 0);
    O: out std_logic_vector(7 downto 0));
    end BoothMult4;

    architecture boothMult4Arch of BoothMult4 is
    begin

    process(A, B)
    variable num: std_logic_vector(8 downto 0);
    variable Y, Z: unsigned(3 downto 0);
    variable i:integer;


    begin
    num := "000000000";
    Y := unsigned(B);
    num(4 downto 1) := A;

    for i in 0 to 3 loop
    if(num(1) = '1' and num(0) = '0&#039 then
    Z := unsigned(num(8 downto 5));
    num(8 downto 5) := std_logic_vector(Z - Y);

    elsif(num(1) = '0' and num(0) = '1&#039 then
    Z := unsigned(num(8 downto 5));
    num(8 downto 5) := std_logic_vector(Z + Y);

    end if;

    num(7 downto 0) := num(8 downto 1);

    end loop;

    O(7 downto 0) <= num(8 downto 1);

    end process;

    end boothMult4Arch;
    خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

    دیدگاه


      #3
      پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

      مرسی.فقط میشه یه کم برنامه رو توضیح بدبد؟

      دیدگاه


        #4
        پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

        نوشته اصلی توسط 4840008477
        مرسی.فقط میشه یه کم برنامه رو توضیح بدبد؟
        یعنی هیچی vhdl کار نکردی؟؟!!!
        خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

        دیدگاه


          #5
          پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

          اقا اگه زحمتی نیست برنامه رو به زبان verilog بزارید...

          دیدگاه


            #6
            پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

            نوشته اصلی توسط 4840008477
            اقا اگه زحمتی نیست برنامه رو به زبان verilog بزارید...
            فدات شم مگه اینجا بقالیه؟میخوای به زبون کردی هم بزارم؟ :read: oo:

            تلاشی ملاشی واسه انجامش که احیانا نمیخوای بکنی؟
            خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

            دیدگاه


              #7
              پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

              حالا نمیشه یه بار ما رو ضایع نکنید؟
              گفتم اگه لطف داری .بی خیال نخواستیم.

              دیدگاه


                #8
                پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

                نوشته اصلی توسط 4840008477
                حالا نمیشه یه بار ما رو ضایع نکنید؟
                گفتم اگه لطف داری .بی خیال نخواستیم.
                من کوچیک شما هم هستم-این حرفا چیه
                یه شوخی بود دوره همی

                واقعیت من verilog کار نمیکنم وگه نه حتما میزاشتم
                خدا گفت : به جهنم ببریدش، او برگشت و با تعجب به خدا نگاه کرد. خدا گفت : به بهشت ببریدش. فرشتگان پرسیدند: چرا؟! خدا گفت : او هنوز به من امیدوار است...

                دیدگاه


                  #9
                  پاسخ : پروژه ضرب کننده بوت چهار بیتی با fpga

                  شرمنده میشه سایتی رو معرفی کنید تا بتونم از اون طریق کارم راه بیفته؟
                  مرسی

                  دیدگاه

                  لطفا صبر کنید...
                  X