اطلاعیه

Collapse
No announcement yet.

مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

    سلام
    من مدار اسیلاتور پل وین زیر رو در ارکد شبیه سازی کردم منتها بجایی این که در خروجی پ امپ شکل موج سینوسی داشته باشه یه شکل موج دی سی با پیک اولیه دارم لطفا راهنمایی بفرمایید.


    #2
    پاسخ : مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

    برای شروع نوسان باید یه نویز توی مدار باشه
    یه منبع پالس با پهنای یک میکرو ثانیه و پریود 10 ثانیه رو با یه خازن به ورودی بده .

    دیدگاه


      #3
      پاسخ : مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

      نوشته اصلی توسط SUNMOON
      برای شروع نوسان باید یه نویز توی مدار باشه
      یه منبع پالس با پهنای یک میکرو ثانیه و پریود 10 ثانیه رو با یه خازن به ورودی بده .
      ممنون از راهنمایی
      من مدار تغییر دادم .یه بار منبع پالس رو به ورودی مثبت و یه بارهم به ورودی منفی دادم. ولی اثری نداشت.

      دیدگاه


        #4
        پاسخ : مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

        برای خازن C1 یک مقدار اولیه در نظر بگیرید.
        اسم پارامترش IC فکر کنم باشه.
        در این مورد بارها صحبت شده.لطفا جستجو بفرمایید.

        دیدگاه


          #5
          پاسخ : مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

          نوشته اصلی توسط حمیدرضا رضائی
          برای خازن C1 یک مقدار اولیه در نظر بگیرید.
          اسم پارامترش IC فکر کنم باشه.
          در این مورد بارها صحبت شده.لطفا جستجو بفرمایید.
          ممنون
          یه مقدار در مورد پل وین سرچ کردم ولی مطلبی مفیدی پیدا نشدو پارامتر IC روی 10Vتنظیم کردم. ولی بازهم سینوسی نشد.
          آیا به منبع پالش برای نوسان اولیه احتیاج داره؟

          دیدگاه


            #6
            پاسخ : مشکل در شبیه سازی Wien Bridge Oscillatorبا ارکد

            با شبیه سازی این مدار مقایسه کنید . این مدار یک نوسانساز با ترانزیستور می باشد . منبع ورودی قرار داده نشده ولی خازن با شرط اولیه در نظر گرفته شده است .
            http://www.4shared.com/file/ZdfHfwiU/oscilator.html

            دیدگاه

            لطفا صبر کنید...
            X