اطلاعیه

Collapse
No announcement yet.

اجرای کد متلب بر روی fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    اجرای کد متلب بر روی fpga

    آیا میشه کد متلب رو روی fpga اجرا کرد؟ (برای انجام محاسبات ریاضی پیچیده با سرعت بالا)
    تولباری برای متلب وجود داره که خروجی vhdl بده؟
    آیا میشه با متلب fpga core ساخت؟
    من از altium استفاده می کنم.

    #2
    پاسخ : اجرای کد متلب بر روی fpga

    یعنی کسی توی این انجمن کد متلب رو روی fpga پیاده نکرده؟

    دیدگاه


      #3
      پاسخ : اجرای کد متلب بر روی fpga

      من فکر میکردم انجمن ECA در زمینه FPGA خیلی فعالند ، ولی مثل اینکه اشتباه کردم.

      دیدگاه


        #4
        پاسخ : اجرای کد متلب بر روی fpga

        http://www.eca.ir/forum2/index.php?topic=17188.msg80656#msg80656
        http://www.eca.ir/forum2/index.php?topic=14898.0

        دیدگاه

        لطفا صبر کنید...
        X