اطلاعیه

Collapse
No announcement yet.

شیفت دادن چند بایت

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    شیفت دادن چند بایت

    سلام
    دوستان من به یه مشکل ساده برخورد کردم اونم اینه که من میخوام چند تا بایت رو شیفت بدم و با هر بار شیفت دادن آن را به صورت بیتی تو یکی از پینهای میکرو قرار بدم .
    نمیخوام ارتباط spi داشته باشم . ممنون
    گلن نه دي،گئدن نه دي؟ بلک نه دي،کفن نه دي!؟
    بو دونيا اوُز کئفينده دي، ياغيش يويور،گون قورودور.

    #2
    پاسخ : شیفت دادن چند بایت

    سلام
    یعنی یه جورایی موازی می خوای. واسه شیفت دادن کافیه به یکی از دو شکل زیر بنویسی:


    کد:
    variable<<valume or variable>>valume
    بعد مساوی با پورت مورد نظر قرار بدی اینجوری:

    کد:
    variable=portx
    این همونی بود که می خواستین؟

    دیدگاه


      #3
      پاسخ : شیفت دادن چند بایت

      سلام

      ارسال یک بایت در PORTA.1 و کلاک روی پایه A.2
      کد:
      void send_byte(unsigned char byte)
      {
      char i;
      
      for (i=0;i<8;i++)
      {
      
      PORTA = byte & 0x01;
      PORTA |=0x02;
      delay_ms(1);
      PORTA &=~0x02;
      byte>>=1;
      
      }
      }
      موفق باشید
      امام علي (عليه السلام) : الزکات العلم نشرهُ/ زکات علم نشر آن است

      دیدگاه

      لطفا صبر کنید...
      X