اطلاعیه

Collapse
No announcement yet.

برنامه VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    برنامه VHDL

    سلام دوستان اگه میشه تو VHDlکمکم کنید ،برنامه باینری به 7segmentرو می خواستم اگه برنامشو میدونید لطفا راهنمایی کنید البته اگه برنامهشو هم دارید که خیلی ممنون میشم.
    صبرت که تمام شد نرو!
    "معرفت"
    تازه از آن لحظه آغاز می شود...

    #2
    پاسخ : برنامه VHDL

    نوشته اصلی توسط RoboRazi
    سلام دوستان اگه میشه تو VHDlکمکم کنید ،برنامه باینری به 7segmentرو می خواستم اگه برنامشو میدونید لطفا راهنمایی کنید البته اگه برنامهشو هم دارید که خیلی ممنون میشم.
    سلام دوست عزیز

    شما میتونین در کتاب زیر علاوه بر این مثال، مثال های عملی بیشری پیدا کنید:

    مشخصات کتاب:

    نام کتاب: نمونه سازی FPGA با مثالهایی از VHDL
    تالیف: پونگ پی. چو
    ترجمه: دکتر قدرت الله سپیدنام
    ناشر: انتشارات علوم رایانه، 1390

    من کتاب رو توی پرشین گیگ هم پلود کردم ولی به زبان اصلی هست. میتونین از http://wdl.persiangig.com/pages/down...ument/FPGA.pdf دانلود کنین

    دیدگاه


      #3
      پاسخ : برنامه VHDL

      سلام. می خواستم بدونم نحوه تقسیم کردن در vhdl به چه شکلیه.برائ نوشتن برنامه 7s می خوام. عدد مورد نظر در vhdl تا عدد 8 تقسیم می شه ولی از عدد 9 به بالا تقسیم نمیشه و نرم افزار ise ارور میده باید چیکار کنیم؟

      دیدگاه


        #4
        پاسخ : برنامه VHDL

        نوشته اصلی توسط RoboRazi
        سلام دوستان اگه میشه تو VHDlکمکم کنید ،برنامه باینری به 7segmentرو می خواستم اگه برنامشو میدونید لطفا راهنمایی کنید البته اگه برنامهشو هم دارید که خیلی ممنون میشم.
        منظورتون BCD-7Seg هست یا Bin-7Seg چون دومی خیلی سخت میشه.

        دیدگاه


          #5
          پاسخ : برنامه VHDL

          نوشته اصلی توسط a.poya
          سلام. می خواستم بدونم نحوه تقسیم کردن در vhdl به چه شکلیه.برائ نوشتن برنامه 7s می خوام. عدد مورد نظر در vhdl تا عدد 8 تقسیم می شه ولی از عدد 9 به بالا تقسیم نمیشه و نرم افزار ise ارور میده باید چیکار کنیم؟
          ببینید برای 7Seg از عدد استفاده نمیکنن از BCD استفاده میکنن که رشته ای از ارقامه بنابراین اصلا نیازی به تقسیم وجود نداره چون رقم خودش موجوده (در غالب عدد نیست)
          ولی در مجموع تقسیم یکی از سخت ترین الگوریتم های کامپیوتره. من خودم روش های پیشرفته تقسیم رو ندیدم ولی ساده ترین روشش همون روشی است که انسان باهاش تقسیم میکنه. مقایسه و کم کردن.
          در این روش مدارتون باید ترتیبی هم باشه.

          دیدگاه


            #6
            پاسخ : برنامه VHDL

            در صورتی که از ISE استفاده میکنی خودش یک Core برای تقسیم داره، برای تقسیم به توانهای 2 مثل 2 4 8 16 و ... کافیه شیفت بدی ولی تقسیم بقیه اعداد الگوریتم خاص خودش رو داره که بهتره از IP Core خود Xilinx استفاده کنی.
            لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
            لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
            با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
            اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
            يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

            دیدگاه


              #7
              پاسخ : برنامه VHDL

              سلام
              این صفحه رو نگاه کن شاید به کارت بیاد
              راه اندازی ‏‎7-segment‏ و آشنایی با مباحث مالتی پلکس :
              http://baranelec.mihanblog.com/post/40
              مهم نيست که کجايي هستي، چه رنگي هستي، به چه زبوني حرف مي زني. مهم اينه که انسان باشي.
              http://baranelec.mihanblog.com/
              آینده ای خواهم ساخت که گذشته ام در برابرش زانو بزند...

              دیدگاه

              لطفا صبر کنید...
              X