اطلاعیه

Collapse
No announcement yet.

نوشتن اطلاعات در مموری با استفاده از fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    نوشتن اطلاعات در مموری با استفاده از fpga

    با عرض سلام خدمت دوستان عزیز

    چطور می تونیم به وسیله یک fpga و اتصال آن به یک مموری با روش spi، اطلاعات مورد نظرمون رو در مموری بنویسیم .

    مموری از نوع 128Mbit Numonyx N25Q12 Serial Flash memory است.

    در مورد عملکرد spi مختصری اطلاعات بدست آوردم.
    در مورد آدرس دهی خانه های حافظه هنوز دقیقا نمی دونم چی به چی؟

    دوستان لطفا اگر در مورد هر بخش از مراحل کار لطلاعاتی دارید راهنمایی بفرمایید.

    با سپاس
لطفا صبر کنید...
X