اطلاعیه

Collapse
No announcement yet.

خطا در کد VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    خطا در کد VHDL

    یک کد ساده برای یک مولتی پلکسر 2 به 1 نوشتم ابتدا ورودی ها رو بصورت
    i : in STD_LOGIC_VECTOR (1 downto 0);
    نوشتم و کد اصلی رو هم بصورت
    architecture Behavioral of max21 is
    signal t1,t2,t3 : std_logic;
    begin
    t1 <= i0 and t3;
    t2 <= i1 and s;
    t3<=not s;
    f <= t1 or t2;

    end Behavioral;
    نوشتم با کمال تعجب خطاهای زیر رو دیدم :
    Undefined symbol 'i0'.
    و
    Undefined symbol 'i1'.
    بعد اومدم تعریف ورودی رو عوض کرد
    i0 : in STD_LOGIC;
    i1 : in STD_LOGIC;
    با همون کدها خطا برطرف شد
    حالا سوال من اینه که چه فرقی بین دو تعریف در ورودی ها وجود داره ؟
    به نظ من که منطق دو تعریف ورودی یکی هست
    مردود شدن بهتر از با تقلب قبول شدن است

    #2
    پاسخ : خطا در کد VHDL

    خودم فکر می کنم شاید به جای t1<=i0 and t3 باید بنویسم t1<=i(0) and t3
    باید امتحان کنم ببینم میشه یا نه؟
    مردود شدن بهتر از با تقلب قبول شدن است

    دیدگاه


      #3
      پاسخ : خطا در کد VHDL

      نوشته اصلی توسط masoud58
      خودم فکر می کنم شاید به جای t1<=i0 and t3 باید بنویسم t1<=i(0) and t3
      باید امتحان کنم ببینم میشه یا نه؟
      آره درسته برای استفاده از یک بیت یه سیگنال یا ورودی و خروجی باید ایندکسش توی پرانتز باشه

      دیدگاه

      لطفا صبر کنید...
      X