اطلاعیه

Collapse
No announcement yet.

ISE

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ISE

    سلام .....خوبید؟؟/
    میخواستم بدونم کسی بلده با نرم افزار ise کنه؟؟؟
    چند تا سوال درمورد iipcoreاینا دارم :agree: :read:

    یه سوال دیگه من این برنامه رو تو نرم افزار نوشتم برای ضرب کننده 16 بیتی هستش توی syntaxهیچ ایرادی نداره تنها ایرادش توی map چرا؟؟؟؟یعنی من نمیتونم شبیه سازیش کنم دیگه....

    ----------------------------------------------------------------------------------
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    use ieee.std_logic_unsigned.all;
    use ieee.std_logic_arith.all;

    -- Uncomment the following library declaration if using
    -- arithmetic functions with Signed or Unsigned values
    --use IEEE.NUMERIC_STD.ALL;

    -- Uncomment the following library declaration if instantiating
    -- any Xilinx primitives in this code.
    --library UNISIM;
    --use UNISIM.VComponents.all;

    entity multiplier is
    port(
    clk : in std_logic;
    a : in std_logic_vector(15 downto 0);
    b : in std_logic_vector(15 downto 0);
    p : out std_logic_vector(31 downto 0)
    );
    end multiplier;

    architecture IMP of multiplier is

    begin
    process (clk)
    begin
    if (clk'event and clk = '1&#039 then
    p <= unsigned(a) * unsigned(b);
    end if;
    end process;
    end IMP;

    #2
    پاسخ : ISE

    سلام
    من با نرم افزار ise کار کردم مشکلتون چیه شاید بتونم کمکتون کنم

    در لینک زیر هم توضیح مختصری درباره core داده شده
    http://www.eca.ir/forum2/index.php?topic=62882.0

    دیدگاه


      #3
      پاسخ : ISE

      نوشته اصلی توسط z e y n a b
      سلام
      من با نرم افزار ise کار کردم مشکلتون چیه شاید بتونم کمکتون کنم

      در لینک زیر هم توضیح مختصری درباره core داده شده
      http://www.eca.ir/forum2/index.php?topic=62882.0

      ممنون
      مشکل من تو اینه که کلا بلد نیستم از ip -core استفاده کنم :(

      دیدگاه


        #4
        پاسخ : ISE

        نحوه ایجاد و استفاده از Xilinx IP Cores در فایل زیر به صورت اسلاید توضیح داده شده

        http://uplod.ir/38vg3xm4stse/Edk_customCores.rar.htm

        دیدگاه


          #5
          پاسخ : ISE

          نوشته اصلی توسط z e y n a b
          نحوه ایجاد و استفاده از Xilinx IP Cores در فایل زیر به صورت اسلاید توضیح داده شده

          http://uplod.ir/38vg3xm4stse/Edk_customCores.rar.htm
          ---
          خیلی خیلی ممنونم

          دیدگاه


            #6
            پاسخ : ISE

            سلام جواب سوالارو پیدا کردم و به شرح زیر است:
            در مورد سوال اول ip-core یک سری توابع و گیت های مورد نیاز و داره که میتونیم ازش به صورت آماده استفاده کنیم حالا چه طوری؟
            اینطوری که در نرم افزار iseروی پروژه ای که ساختید کلیک راست کنید و گزینه ی new source انتخاب کنید بعد صفحه ای که باز میشه گزینه ی ip-coreمیزنید و اط صفحه ای که بعدش باز میشه فایلی که میخوایدو انتخاب میکنید و روش کلیک میکنید به این ترتیب تابع مورد نظر توی پروژه ادد میشه و بعد باید کامپامنت و خروجی ها رو توی در پروژه ی اصلی تعریف میکنید و از اون استفاده میکنید ..

            و اما سوال دوم
            ایراد برنامه در انتخاب fpjaمناسب بود .....fpja انتخابی باید تعداد پایه های ورودی خروجی اش مناسب باشه که من رعایت نکرده بودم ولی برنامه ایرادی نداشت و کار ضرب کننده را انجام میداد

            موفق باشین 玛丽

            دیدگاه


              #7
              پاسخ : ISE

              نوشته اصلی توسط z e y n a b
              نحوه ایجاد و استفاده از Xilinx IP Cores در فایل زیر به صورت اسلاید توضیح داده شده

              http://uplod.ir/38vg3xm4stse/Edk_customCores.rar.htm
              باسلام
              فایل موجود نمی باشد

              دیدگاه


                #8
                پاسخ : ISE

                نوشته اصلی توسط S.R.F
                باسلام
                فایل موجود نمی باشد

                سلام
                بهترین منبعی که به شما کمک میکنه help خود نرم افزار ise هستش .....البته پیشنهاده
                موفق باشید

                دیدگاه

                لطفا صبر کنید...
                X