سلام .....خوبید؟؟/
میخواستم بدونم کسی بلده با نرم افزار ise کنه؟؟؟
چند تا سوال درمورد iipcoreاینا دارم :agree: :read:
یه سوال دیگه من این برنامه رو تو نرم افزار نوشتم برای ضرب کننده 16 بیتی هستش توی syntaxهیچ ایرادی نداره تنها ایرادش توی map چرا؟؟؟؟یعنی من نمیتونم شبیه سازیش کنم دیگه....
میخواستم بدونم کسی بلده با نرم افزار ise کنه؟؟؟
چند تا سوال درمورد iipcoreاینا دارم :agree: :read:
یه سوال دیگه من این برنامه رو تو نرم افزار نوشتم برای ضرب کننده 16 بیتی هستش توی syntaxهیچ ایرادی نداره تنها ایرادش توی map چرا؟؟؟؟یعنی من نمیتونم شبیه سازیش کنم دیگه....
----------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity multiplier is
port(
clk : in std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0);
p : out std_logic_vector(31 downto 0)
);
end multiplier;
architecture IMP of multiplier is
begin
process (clk)
begin
if (clk'event and clk = '1' then
p <= unsigned(a) * unsigned(b);
end if;
end process;
end IMP;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity multiplier is
port(
clk : in std_logic;
a : in std_logic_vector(15 downto 0);
b : in std_logic_vector(15 downto 0);
p : out std_logic_vector(31 downto 0)
);
end multiplier;
architecture IMP of multiplier is
begin
process (clk)
begin
if (clk'event and clk = '1' then
p <= unsigned(a) * unsigned(b);
end if;
end process;
end IMP;
دیدگاه