اطلاعیه

Collapse
No announcement yet.

مشکل ساده if در VHDL

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    مشکل ساده if در VHDL

    درود
    تو برنامه زیر به عملیات انتصاب و شرط من گیر می ده و این خطاها را میده
    مکان خطاها را پررنگ کردم
    Error: VHDL error at getpx1.vhd(30): can't determine definition of operator "=" -- found 0 possible definitions
    Error: VHDL error at getpx1.vhd(32): character '0' used but not declared for type integer
    برنامم برای دریافت یک ماتریس تصویره
    واقعا ممنون میشم کسی می دونه راهنمایی کنه
    library ieee;
    use ieee.std_logic_1164.all;
    use IEEE.std_logic_signed.all;
    entity getpx1 is
    port (a:in std_logic;
    clk: in std_logic;
    w:in bit
    );

    end getpx1;
    architecture p1 of getpx1 is
    type get is array(63 downto 0,63 downto 0)of integer range 0 to 255;
    signal gets:get;
    signal c:std_logic_vector(7 downto 0);
    signal r0:integer range 0 to 255;
    signal row,col:integer range 0 to 63;

    begin
    process(clk)
    begin
    if(clk'event and clk='1&#039then
    if (w='1&#039then
    for i in 7 downto 0 loop

    c(i)<=a;
    end loop;
    r0<=conv_integer(c);
    gets(row,col)<=r0;
    col<=col+1;
    if ((col="63&quotand(row<"63&quot)then
    row<=row+1;
    col<='0';
    end if;
    end if;
    end if;
    end process;
    end p1;
    ايميل من:peymankzv@gmail.com

    #2
    پاسخ : مشکل ساده if در VHDL- فوری لطفا

    سلام
    1- W رو از نوع std_logic انتخاب کن
    2- برای مقدار دهی به integer نباید از " " استفاده کنی if ((col=63) and (row<63) then

    دیدگاه


      #3
      پاسخ : مشکل ساده if در VHDL- فوری لطفا

      سپاس از راهنماییت
      فقط w را چرا تغییر دهم مشکل دوم در صفر کردن col است؟؟؟
      ايميل من:peymankzv@gmail.com

      دیدگاه


        #4
        پاسخ : مشکل ساده if در VHDL- فوری لطفا

        داداش مشکلم کامل حل شد سپاس فراوان
        فقط اگه میتونی یه نگاهی به کل برنامه بنداز ببین درسته یا نه
        می خوام یه ماتریس عکس را دریافت کنه و به صورت آرایه دوبعدی ذخیره کنه برای انجام کارهای بعدی روی تصویر
        ممنون
        ايميل من:peymankzv@gmail.com

        دیدگاه


          #5
          پاسخ : مشکل ساده if در VHDL- فوری لطفا

          در مورد برنامت نمی تونم چیزی بگم
          فقط ظاهرا مقدار row هیچوقت صفر نمیشه و وقتی به 63 رسید دیگه رو 63 میمونه

          دیدگاه


            #6
            پاسخ : مشکل ساده if در VHDL- فوری لطفا

            سپاس فراوان
            ايميل من:peymankzv@gmail.com

            دیدگاه

            لطفا صبر کنید...
            X