اطلاعیه

Collapse
No announcement yet.

integer to character

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    integer to character

    برای تبدیل integer یا std_logic_vector به character راه مسالمت آمیزی می شناسید؟
    این attributeها پدر ما رو درآورد. یه تابع در attributeها هست که ادعا میکنه میتونه این کارو بکنه ولی متاسفانه با خطای کامپایلر محترم مواجه میشه:
    کد:
    T'val(x)
    خطای the attribute is not authorized داده میشه. هرکسی هم در مورد این attribute یه چیزی میگه. یکی میگه unsythesizable یکی میگه not supported قضیه چیه بالاخره؟ این نوع داده قراره نقش عروسکو تو vhdl بازی کنه؟ :angry:

    کسی ieee reference manual 2008 رو داره؟ تصور میکنم در استانداردهای جدید قوانین جدیدی در این باره وجود داره؟ :read:

    #2
    پاسخ : integer to character

    این دستور باید جواب بده البته توی Test Bench وگرنه چون نوع character غیر قابل سنتزه و نمیشه توی کد اصلی استفاده کرد.
    لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
    لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
    با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
    اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
    يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

    دیدگاه


      #3
      پاسخ : integer to character

      نوشته اصلی توسط هـادی
      این دستور باید جواب بده البته توی Test Bench وگرنه چون نوع character غیر قابل سنتزه و نمیشه توی کد اصلی استفاده کرد.
      ممکن یک رفرنس متقنی برای این مطلب معرفی کنید؟ حرفهای ضد و نقیض شنیدم. مخصوصا توی ieee standard????
      فکر میکنم اشتباه میکنید. اون نوع داده string هست که لابد غیرقابل سنتزه.

      دیدگاه


        #4
        پاسخ : integer to character

        نوشته اصلی توسط میثم شکیبا
        ممکن یک رفرنس متقنی برای این مطلب معرفی کنید؟ حرفهای ضد و نقیض شنیدم. مخصوصا توی ieee standard????
        فکر میکنم اشتباه میکنید. اون نوع داده string هست که لابد غیرقابل سنتزه.
        منبع من کتاب Circuit Design with VHDL(2004) (صفحه 27 کتاب) هست، در ضمن string یک آرایه از character هست پس اگه character قابل سنتز باشه string هم باید قابل سنتز باشه.
        لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
        لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
        با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
        اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
        يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

        دیدگاه


          #5
          پاسخ : integer to character

          نوشته اصلی توسط هـادی
          منبع من کتاب Circuit Design with VHDL(2004) (صفحه 27 کتاب) هست، در ضمن string یک آرایه از character هست پس اگه character قابل سنتز باشه string هم باید قابل سنتز باشه.
          کتابو دارم.کتاب خوبیه. ویرایش دومش هم اومده اما لینکی برای دانلودش پیدا نکردم. مخصوصا که در سرفصلاش دیدم در مورد vhdl2008 هم اطلاعاتی داده.
          درست میفرمایید. توی این کتاب این طور ذکر کرده. میپذیرم. تشکر از اطلاعتون.
          اساسا گویا برای کار کردن با اسکی ها باید با مقادیرشون کار کرد در نوع داده std_logic؟

          دیدگاه


            #6
            پاسخ : integer to character

            بقیه لینک ها رو چک کن:
            http://en.bookfi.org/s/?q=Circuit+Design+with+VHDL&t=0
            فکر می کنم با VHDL-93 کار کنی و عادت کنی بهتر باشه چون اکثراً از این استاندارد استفاده میکنن و اگه کدت رو بخوای به یه نفر دیگه بدی ممکنه مشکل ساز بشه.
            برای کار کردن با کاراکترها یه راهی که به نظرم میرسه اینه که توی یک package یک سری ثابت از نوع integer معادل کدهای اسکی مورد نظرت تولید کنی با اسم همون کاراکتر اسکی، البته چون VHDL به کوچکی و بزرگی حروف حساس نیست مجبوری برای نامگذاری حروف کوچک و بزرگ مثلاً از یک پسوند استفاده کنی.
            لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
            لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
            با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
            اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
            يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

            دیدگاه


              #7
              پاسخ : integer to character

              نوشته اصلی توسط هـادی
              بقیه لینک ها رو چک کن:
              http://en.bookfi.org/s/?q=Circuit+Design+with+VHDL&t=0
              فکر می کنم با VHDL-93 کار کنی و عادت کنی بهتر باشه چون اکثراً از این استاندارد استفاده میکنن و اگه کدت رو بخوای به یه نفر دیگه بدی ممکنه مشکل ساز بشه.
              برای کار کردن با کاراکترها یه راهی که به نظرم میرسه اینه که توی یک package یک سری ثابت از نوع integer معادل کدهای اسکی مورد نظرت تولید کنی با اسم همون کاراکتر اسکی، البته چون VHDL به کوچکی و بزرگی حروف حساس نیست مجبوری برای نامگذاری حروف کوچک و بزرگ مثلاً از یک پسوند استفاده کنی.
              امروز کد kcpsm3 رو میدیدم. در اون نه تنها از character استفاده شده بود بلکه از string هم استفاده کرده بود و این خلاف اون چیزی است که در کتاب pedroni ذکر شده؟؟؟؟ oo: oo:

              دیدگاه


                #8
                پاسخ : integer to character

                نوشته اصلی توسط میثم شکیبا
                امروز کد kcpsm3 رو میدیدم. در اون نه تنها از character استفاده شده بود بلکه از string هم استفاده کرده بود و این خلاف اون چیزی است که در کتاب pedroni ذکر شده؟؟؟؟ oo: oo:
                اگه ممکنه کد رو بذار.
                لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
                لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
                با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
                اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
                يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

                دیدگاه


                  #9
                  پاسخ : integer to character

                  http://mim-shin-shahid.persiangig.com/fpga/kcpsm3.vhd
                  همونطور که در کد میبینید دستورات اسمبلی پیکوبلیز به صورت string تعریف شده اند.
                  من این سوال رو درفروم xilinx هم پرسیده ام. و اونها تاکید داشتند که character قابل سنتزه

                  دیدگاه


                    #10
                    پاسخ : integer to character

                    در کتاب جدید pedroni (ص10) در مورد character ها اومده که قابل سنتز هستند همچنین( string)!
                    http://www.amazon.com/gp/reader/0262...tu#reader-link
                    امیدوارم لینکی برای دانلود این کتاب کسی داشته باشه. :read:

                    دیدگاه


                      #11
                      پاسخ : integer to character

                      بالاخره کتابارو پیدا کردم: :wow:
                      Circuit Design and Simulation with VHDL- (second edition)Veloni Pedroni 2010
                      The Designer's Guide to VHDL (secthird edition) Peter J.Ashenden 2008
                      و همچنین IEEE 1076-2008
                      اما برای رعایت نظم. اونا رو در بخش ebookها میذارم.

                      دیدگاه

                      لطفا صبر کنید...
                      X