اطلاعیه

Collapse
No announcement yet.

آشنایی با vivado

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    آشنایی با vivado

    سلام

    چندبار تو فروم در مورد Vivado سوال پرسیده شده بود. فکر می کنم الان که کمی تجربه کار با این نرم افزار رو پیدا کردم میشه در موردش حرف زد.

    اولین مسئله که حتما همه می دونین اینه که vivado فقط از FPGA های سری 7 به بعد xilinx پشتیبانی میکنه و سری های قبل بهش اضافه نخواهند شد.
    نرم افزار vivado هرچیزی که برای طراحی FPGA نیاز دارین تو خودش داره و همه ابزارها رو بصورت یکپارچه یک جا جمع کرده. ابزار core generator، ioplaning، ابزارهای timing، ابزارهای power، ابزارهای embedded، شبیه سازی و ...
    برای راحتی کار، vivado از چند layout یا نما تشکیل شده. بعد از انجام عملیات سنتز، میتونین طرح سنتز شده رو تو layout های مختلف vivado (مثل IO Planning، clock planning، floorplanning، timing analysis debug) باز کنین و طراحی رو ادامه بدین. هر کدوم این ابزارها کلی امکانات پیچیده دارن.

    چیزی که باعث شد من بر خلاف میلم برم سراغ Vivado این بود که coreهاش کاملتر و جدیدتر هستن. xilinx از وقتی vivado رو داده، یه سری از coreهاش رو فقط رو این پلتفرم نرم افزاری پدیت کرده. به خصوص مشکلات و کمبودهای مربوط به coreهای مرتبط با transceiverها که البته تو این تاپیک نمیگنجه ...

    و اما مهمترین ویژگی Vivado چند هسته ای شدن برنامه است. ISE بخاطر تک هسته ای بودنش خیلی عذاب آور بود! موقع انجام عملیاتی مثل سنتز شما کار دیگه ای نمی تونین بکنین. در حالی که محیط Vivado که خیلی کامل هست و تمام ابزارها رو یکجا جمع کرده، کاملا چند هسته ای کار میکنه و شما میتونین از چند ابزار همزمان استفاده کنین. مسئله مهمتر خود عملیات سنتز و implement که البته تو ISE واسه بعضی هاشون به دروغ مینویسه دو هسته ای اجرا میشن اما در واقع اینطور نیست و اون چند هسته ای که ISE میگه هیچ بهبودی تو سرعت نخواهد داشت. سرعت implement تو ISE حالا یا بخاطر تک هسته ای بودن یا الگوریتم قدیمیش، خیلی پایین هست و این مشکل موقع کار با FPGA های بزرگ اعصاب واسه آدم نمیذاره. یه core اترنت ساده واسه Virtex7 با ISE حدود 15 دقیقه زمان میبره تا پیاده سازی بشه. تازه رو سیستم فول پشن من با پردازنده 3.5 گیگاهرتزی i7. در حالی که همین core با vivado حدود 7 دقیقه طول میکشه.

    syntax نوشتن constraint ها تو طراحی مبتنی بر vivado فرق کرده و اینجا دیگه فایل ucf جواب نمیده. تو vivado به جای ucf از xdc استفاده میشه که syntax متفاوتی داره. اما نیاز نیست از همون اول درگیر نوشته xdc شد. ابزارهای مختلف vivado، خودشون فایل constraint رو پدیت میکنن. constraint ها می تونن هم برای سنتز و هم برای implement جدا تعریف بشن. در واقع با جزئیات بیشتری میشه برای مراحل مختلف constraint تعریف کرد که من فعلا ازش سر در نمیارم. ضمنا میشه چند گروه constraint داشت برای شرایط پیاده سازی مختلف. یا حتی مثل اینکه میشه برای یک فایل یا core خاص یک فایل constraint جدا داشت. چیزی که موقع استفاده از core ها دیده میشه و حتی بعضی هاشون read only هستن! ضمنا از سری 7 به بعد نرم افزار به شدت به constraint ها گیر میده و نمیذاره همه چی رو به حالت default رها کنین. این مورد تو ISE هم صدق میکنه. مثلا تمام iostandardها رو باید تعریف کنین.

    ادیتور و محیط شماتیک هم بالاخره تو Vivado لایق یه طراح حرفه ای شد! (البته من همون notepad++ رو ترجیح میدم).

    دیگه چیزی به ذهنم نمیرسه. البته من حدود 2 هفته است که با vivado کار کردم و پورت نوری SFP+ 10Gbps شبکه رو راه انداختم و راضی بودم. البته هنوز به محیط شلوغ و پیچیدش عادت نکردم. فکر میکنم خیی طول بکشه تا بشه به امکانات مختلف برنامه مسلط شد. اگه چیزای جدیدی یاد گرفتم و وقت کردم، تو همین تاپیک مینویسم.



    https://www.linkedin.com/in/mohammadhosseini69

    http://zakhar.blog.ir

    #2
    پاسخ : آشنایی با vivado

    یکی از دوستان پیام خصوصی داد که با لایسنس Vivado مشکل داره.

    اون لایسنسی که رو اینترنت پیدا میشه تو سنتز گیر میکنه!
    لایسنسی که خودم استفاده می کنم رو پلود کردم
    http://www.4shared.com/rar/OLXkfHdjba/Xilinx.html
    این رو یکی از بچه های تورنت باز از ترکرهای خصوصی پیدا کرده و کاملا جواب میده. همه coreهای vivado رو هم داره
    https://www.linkedin.com/in/mohammadhosseini69

    http://zakhar.blog.ir

    دیدگاه


      #3
      پاسخ : آشنایی با vivado

      سلام. ممنون از تاپیک خوبت. من ISE 14.3 دارم که فقط Vivado مشکل License داره. اگه لطف کنی و فایل License رو دوباره پلود کنی و بگی مربوط به کدوم ورژن هست خیلی عالی میشه. ضمناً فرق Vivado با Vivado HLS چیه؟ اگه منبع اصلی خوبی هم داری لطفاً معرفی کن. من هم کم کم قصد دارم Vivado شروع کنم.
      لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
      لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
      با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
      اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
      يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

      دیدگاه


        #4
        پاسخ : آشنایی با vivado

        نوشته اصلی توسط هـادی
        سلام. ممنون از تاپیک خوبت. من ISE 14.3 دارم که فقط Vivado مشکل License داره. اگه لطف کنی و فایل License رو دوباره پلود کنی و بگی مربوط به کدوم ورژن هست خیلی عالی میشه. ضمناً فرق Vivado با Vivado HLS چیه؟ اگه منبع اصلی خوبی هم داری لطفاً معرفی کن. من هم کم کم قصد دارم Vivado شروع کنم.
        چرا دوباره پلود کنم؟ مگه دانلود نمیشه؟
        این لایسنس رو vidado 2013 که کار میکنه. لایسنس ISE هم واسه 14.7 که البته رو 14.6 هم جوای میداد.

        HLS یا High Level Synthesis قابلیت طراحی در سطح سیستمی رو با C++ و systemC داره. من با Vivado HLS کار نکردم. اما درس مربوط به این نوع طراحی (Electronic system level design) رو پاس کردم و اصلا برام جالب نبود! SystemC به این راحتی ها سنتز نمیشه. تا جایی که من می دونم خیلی مونده تا این سبک طراحی کامل بشه. نمی دونم شاید vivado HLS واقعا خوب باشه.
        https://www.linkedin.com/in/mohammadhosseini69

        http://zakhar.blog.ir

        دیدگاه


          #5
          پاسخ : آشنایی با vivado

          نوشته اصلی توسط محمد حسینی
          چرا دوباره پلود کنم؟ مگه دانلود نمیشه؟
          این لایسنس رو vidado 2013 که کار میکنه. لایسنس ISE هم واسه 14.7 که البته رو 14.6 هم جوای میداد.

          HLS یا High Level Synthesis قابلیت طراحی در سطح سیستمی رو با C++ و systemC داره. من با Vivado HLS کار نکردم. اما درس مربوط به این نوع طراحی (Electronic system level design) رو پاس کردم و اصلا برام جالب نبود! SystemC به این راحتی ها سنتز نمیشه. تا جایی که من می دونم خیلی مونده تا این سبک طراحی کامل بشه. نمی دونم شاید vivado HLS واقعا خوب باشه.
          سلام
          دوست عزیز لینک مورد داره و مینویسه که فایل پاک شده

          دیدگاه


            #6
            پاسخ : آشنایی با vivado

            سلام
            آقای حسینی ممنون، راستی شما کجا کار می کنید که به این Virtex 7 دسترسی دارین؟

            دیدگاه


              #7
              پاسخ : آشنایی با vivado

              نوشته اصلی توسط محمد حسینی
              چرا دوباره پلود کنم؟ مگه دانلود نمیشه؟
              این لایسنس رو vidado 2013 که کار میکنه. لایسنس ISE هم واسه 14.7 که البته رو 14.6 هم جوای میداد.

              HLS یا High Level Synthesis قابلیت طراحی در سطح سیستمی رو با C++ و systemC داره. من با Vivado HLS کار نکردم. اما درس مربوط به این نوع طراحی (Electronic system level design) رو پاس کردم و اصلا برام جالب نبود! SystemC به این راحتی ها سنتز نمیشه. تا جایی که من می دونم خیلی مونده تا این سبک طراحی کامل بشه. نمی دونم شاید vivado HLS واقعا خوب باشه.
              مشکل من License برنامه Vivado HLS هست نه خود Vivado. انجام بعضی کارها مثل کارهای پردازشی با HDL سخته در حالی که با SysGen خیلی راحت میشه، ممکنه با ++C هم بعضی کارهای دیگه راحت تر باشه. اگه فایل License روی Vivado HLS هم جواب میده لطفاً بگو تا من هم ورژن 14.7 نصب کنم. الان یک سورس دارم که ناقصه و مجبورم از 14.3 که کامل تره استفاده کنم. اگه میشه ورژن کامل هم معرفی کن.
              لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
              لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
              با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
              اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
              يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

              دیدگاه


                #8
                پاسخ : آشنایی با vivado

                سلام
                چه زوذ پاک کردن !!
                http://spiderman.persiangig.com/xilinxvideo/Xilinx.rar
                لایسنس HLS هم تو این هست اما تستش نکردم

                نوشته اصلی توسط Ali_Asadzadeh
                سلام
                آقای حسینی ممنون، راستی شما کجا کار می کنید که به این Virtex 7 دسترسی دارین؟
                واسه پروژه ارشدم خریدیم...
                https://www.linkedin.com/in/mohammadhosseini69

                http://zakhar.blog.ir

                دیدگاه


                  #9
                  پاسخ : آشنایی با vivado

                  ممنون، کدام برد رو خریدین؟ چند؟

                  دیدگاه


                    #10
                    پاسخ : آشنایی با vivado

                    نوشته اصلی توسط Ali_Asadzadeh
                    ممنون، کدام برد رو خریدین؟ چند؟
                    ما یه برد با چندتا پورت 10G شبکه می خواستیم. واسه همین این برد رو خریدیم:
                    http://www.hitechglobal.com/Boards/Virtex7_PCIE_Gen3.htm
                    قیمتش نزدیک ده هزار دلار بود
                    https://www.linkedin.com/in/mohammadhosseini69

                    http://zakhar.blog.ir

                    دیدگاه


                      #11
                      پاسخ : آشنایی با vivado

                      مچکرم، و خوش به حالتون! :biggrin:

                      دیدگاه


                        #12
                        پاسخ : آشنایی با vivado

                        آقا این Licenseها رو چطور استفاده کنم؟
                        من تو License Manager آدرس xilinx_vivado.lic رو وارد میکنم ولی باز خطای License میده!


                        ****** Vivado v2012.3
                        **** Build 209282 by xbuild on Thu Oct 18 2002 MDT 2012
                        ** Copyright 1986-1999, 2001-2012 Xilinx, Inc. All Rights Reserved.

                        WARNING: [Vivado 15-2] No 'Implementation' license found.
                        INFO: [Device 21-36] Loading parts and site information from D:/Xilinx/14.3/ISE_DS/PlanAhead/data/partsarch.xml
                        Parsing RTL primitives file [D:/Xilinx/14.3/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
                        Finished parsing RTL primitives file [D:/Xilinx/14.3/ISE_DS/PlanAhead/data/parts/xilinx/rtl/prims/rtl_prims.xml]
                        start_gui
                        یا علی.

                        دیدگاه


                          #13
                          پاسخ : آشنایی با vivado

                          فایل های لایسنس رو کپی کن تو پوشه .xilinx درایو c(به نقطه قبل از xilinx توجه کن) بعد license manager رو در حالت run as administrator اجرا کن ...
                          https://www.linkedin.com/in/mohammadhosseini69

                          http://zakhar.blog.ir

                          دیدگاه


                            #14
                            پاسخ : آشنایی با vivado

                            نوشته اصلی توسط محمد حسینی
                            فایل های لایسنس رو کپی کن تو پوشه .xilinx درایو c(به نقطه قبل از xilinx توجه کن) بعد license manager رو در حالت run as administrator اجرا کن ...
                            برادر من این کار و میکنم ولی بازم خطا میده.

                            بقیه دوستان آیا چنین مشکلی ندارن؟
                            ممکن هست به خاطر ورژن Vivado باشه؟ ورژنی که من استفاده می کنم: Vivado v2012.3

                            یا علی.

                            دیدگاه


                              #15
                              پاسخ : آشنایی با vivado

                              نوشته اصلی توسط مهندس 80
                              برادر من این کار و میکنم ولی بازم خطا میده.

                              بقیه دوستان آیا چنین مشکلی ندارن؟
                              ممکن هست به خاطر ورژن Vivado باشه؟ ورژنی که من استفاده می کنم: Vivado v2012.3
                              بله من هم همین مشکلو دارم . وقتی کپی میکنم توی اون فولدر ، موقع لود کردن لایسنس بهم خطای Over write میده. بعدش که اوکی میکنم ارور میده. حالا چیکار کنم :angry:
                              يا حق

                              دیدگاه

                              لطفا صبر کنید...
                              X