اطلاعیه

Collapse
No announcement yet.

ایجاد ارتباط بین متلب و xilinx ise

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ایجاد ارتباط بین متلب و xilinx ise

    سلام دوستان
    می خوام روی fpga فیلتر بنویسم و می خوام با سیمولینک متلب اون رو تست کنم. کسی می دونه چه جوری این کارو کنم؟

    #2
    پاسخ : ایجاد ارتباط بین متلب و xilinx ise

    سلام
    اگر system generator به درستی نصب شده باشه و ise و متلب با هم match باشند. از این طریق با استفاده از بلوکهای xilinx و بلوک fdatool میتونید فیلتر رو طراحی کنید.
    همونجا هم میتونید تستش کنید. برای اینکار از مثال خود sysgen استفاده کنید. پوشه getting_started_training رو در دایرکتوری ise جستجو کنید. lab7/solution رو در متلب تست کنید همه چی دستگیرتون میشه.

    دیدگاه


      #3
      پاسخ : ایجاد ارتباط بین متلب و xilinx ise

      نوشته اصلی توسط Mohsen Khoshnazar
      سلام دوستان
      می خوام روی fpga فیلتر بنویسم و می خوام با سیمولینک متلب اون رو تست کنم. کسی می دونه چه جوری این کارو کنم؟
      دوست عزیز مشکل شما نوشتن فیلتره، یا تست اون با متلب، یا هر دوش؟

      دیدگاه


        #4
        پاسخ : ایجاد ارتباط بین متلب و xilinx ise

        سلام ،من هم سوال این اقا رو داشتم فقط میخوام بدونم چه طور میشه با هم ارتباط داد ممنون میشم اگه مراحل رو بگید

        دیدگاه

        لطفا صبر کنید...
        X