اطلاعیه

Collapse
No announcement yet.

ارتباط متلب با fpga

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    ارتباط متلب با fpga

    سلام به همه.....
    یک سوال داشتم.اگه بخوایم اطلاعاتی که از شبیه سازی توisim به دست اومده رو تو متلب استفاده کنیم باید چیکار کنیم؟
    :read:

    #2
    پاسخ : ارتباط متلب با fpga

    نوشته اصلی توسط m_mokhaberat
    سلام به همه.....
    یک سوال داشتم.اگه بخوایم اطلاعاتی که از شبیه سازی توisim به دست اومده رو تو متلب استفاده کنیم باید چیکار کنیم؟
    :read:
    یک روش اینه که در فایل تست بنچ با استفاده از دستورات $fopen و $fwrite اطلاعات مد نظرتون رو در یک فایل txt ذخیره و بعد این فایل رو در مطلب import کنید
    افتادگی آموز اگر طالب فیضی هرگز نخورد آب زمینی که بلند است

    دیدگاه


      #3
      پاسخ : ارتباط متلب با fpga

      از راهنمایی تون ممنون....
      میشه یکم بیشتر توضیح بدید؟lدستوری که گفتید library خاصی داره؟

      اضافه شده در تاریخ :
      ببخشید دستوری که گفتید با verilog است؟
      من با vhdl کار می کنم....

      دیدگاه


        #4
        پاسخ : ارتباط متلب با fpga

        نوشته اصلی توسط m_mokhaberat
        ببخشید دستوری که گفتید با verilog است؟
        من با vhdl کار می کنم....
        بله برای verilog هست ولی فکر کنم اگر بگردید برای vhdl هم احتمالا مشابهشو پیدا کنید
        فقط توجه کنید که این دستورات تو تست بنچ استفاده می شن نه در فایل verilog که قراره سنتز بشه
        افتادگی آموز اگر طالب فیضی هرگز نخورد آب زمینی که بلند است

        دیدگاه


          #5
          پاسخ : ارتباط متلب با fpga

          سلام...
          کسی میدونه که اگه بخوایم اطلاعات رو از فایل متنی تولید شده تو isim از طریق متلب بخونیم باید از چه دستوری استفاده کنیم؟ :read:

          اضافه شده در تاریخ :
          منظورم اینه که دستوری که تو متلب استفاده میشه چی هست؟

          دیدگاه


            #6
            پاسخ : ارتباط متلب با fpga

            سلام
            فایلتون رو به محیط متلب drag and drop کنید. خودش تبدیل میکنه. اونور از شما میپرسه میخواهید که به صورت ماتریس ذخیره کنه یا چیزه دیگه. البته من این کار رو توی ورژن 2012 انجام دادم شاید قبل از اون نشه.

            دیدگاه


              #7
              پاسخ : ارتباط متلب با fpga

              ببخشید
              شبیه سازی پروتئوس رو هم میشه برد توی متلب؟
              با هم لینک میشن اصلن؟

              دیدگاه


                #8
                پاسخ : ارتباط متلب با fpga

                نوشته اصلی توسط Coronaa
                ببخشید
                شبیه سازی پروتئوس رو هم میشه برد توی متلب؟
                با هم لینک میشن اصلن؟
                بله میشه از طریق پورت سریال مجازی

                دیدگاه


                  #9
                  پاسخ : ارتباط متلب با fpga

                  نوشته اصلی توسط بنیامین خلیلی
                  بله میشه از طریق پورت سریال مجازی
                  چطوری؟ میشه بیشتر توضیح بدی؟
                  اگه تصویری هم وجود داره اینجا قرار بدی ممنون میشم.

                  دیدگاه


                    #10
                    پاسخ : ارتباط متلب با fpga

                    توی پروتعوس قطعه ی سریالی وجود داره بنام COMPIM,virtual terminalبا این دوتا میشه

                    مثلا روشن کردن LEDبرنامه برای این کار بنویسی و درون میکروی پروتیوس بزاری و با این دو دستور به متلب از طریق سریالی متصل بشی

                    دیدگاه


                      #11
                      پاسخ : ارتباط متلب با fpga

                      سلام...
                      کد vhdl که در فایل تست بنچ نوشته میشه به صورت زیر است...
                      if rising_edge(clk) then
                      if not endfile(input_file) then
                      readline (input_file,file_line);
                      read (file_line,C) ;
                      xn_re <= C;
                      else
                      xn_re <= (others=>'0'
                      end if;

                      end if;
                      end process;


                      write_output: process(clk)
                      file output_file : text open write_mode is "H:\ffft_matlab\output_data.txt";
                      variable C: std_logic_vector(7 downto 0);
                      variable file_line: line;
                      begin
                      if rising_edge(clk) then
                      writeline (output_file,file_line);
                      write (file_line,F) ;
                      end if;
                      end process;

                      دیدگاه

                      لطفا صبر کنید...
                      X