اطلاعیه

Collapse
No announcement yet.

دستور تاخیر

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    دستور تاخیر

    سلام
    می خواستم بدونم دستور تاخیر ایا توی fpga اجرا میشه یا نه؟
    مثلا دستور زیر رو وقتی اجرا می کنم بدون تاخیر برنامه کار می کنه
    f <=e or c after 50000ms

    یا این برنامه رو ببینید


    #2
    پاسخ : دستور تاخیر

    سلام.
    دستورات تأخیر و wait قابل سنتز نیستند و فقط برای شبیه سازی استفاده میشن. در صورتی که به تأخیر نیاز داری باید از طریق برنامه نویسی ایجاد کنی.
    لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
    لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
    با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
    اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
    يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

    دیدگاه


      #3
      پاسخ : دستور تاخیر

      برای ایجاد تاخیر باید از شمارنده استفاده کنی و بسته به فرکانس کلاکت محاسبه کنی که اون شمارنده تا چه عددی باید بشماره تا تاخیر مورد نظر شما اتفاق بیفته.

      دیدگاه


        #4
        پاسخ : دستور تاخیر

        آقا ببین درست میگم دیگه...
        کد:
        (1/f)*x=1 (Second)
        که x رو داخل یه حلقه قرار میدیم تا 1 ثانیه تولید بشه
        کد:
        for(i=0;i<=x;i++)
        درست گفتم دیگه؟؟؟
        حالا سوال این که فرکانس کاری fpga رو چطور میشه تعیین کرد؟؟؟؟؟؟ تو کجای ise باس برم فرکانس رو تنظیم کنم؟؟؟؟؟ یا این که از طریق کدنویسی انجام میشه ایین کار؟؟؟؟
        اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

        اگه دوس دارید سریع به جواب برسید :
        1) قبل از پرسیدن سوال، بسرچید.
        2) سوالاتون رو با جزئیات کامل مطرح کنید.

        دیدگاه


          #5
          پاسخ : دستور تاخیر

          حالا سوال این که فرکانس کاری fpga رو چطور میشه تعیین کرد؟؟؟؟؟؟ تو کجای ise باس برم فرکانس رو تنظیم کنم؟؟؟؟؟ یا این که از طریق کدنویسی انجام میشه ایین کار؟؟؟؟
          داخل FPGA اسیلاتور نداره و منبع کلاک معمولاً کریستال اسیلاتور روی برده، البته با استفاده از DCM یا Clock Wizard میتونی فرکانس های دیگه هم از روی کلاک ورودی بسازی.
          لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
          لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
          با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
          اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
          يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

          دیدگاه


            #6
            پاسخ : دستور تاخیر

            آره مث این که بدبختانه fpga کریستال داخلی نداره....روی بردم یه دونه کریستال 50 میگ هستش.......همون طور که گفتی انجام دادم.....


            .
            .
            .
            .
            .

            .
            .
            .
            تو بالا من فرکانس ورودی رو 50 دادم(رو بردم یه کریستال 50 میگ هستش)...منبع کلاک رو هم رو اکسترنال قرار دادم...
            بقیه گزینه هاش رو نمیدونم برا چی هستن.....اون پایه ها رو هم همین طور...البته پایه های RST (ریست) و CLKIN (کلاک ورودی) کارشون معلومه ولی بقیه رو نمیدنم.....

            دوستان یه راهنمای میکنن؟؟؟
            اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

            اگه دوس دارید سریع به جواب برسید :
            1) قبل از پرسیدن سوال، بسرچید.
            2) سوالاتون رو با جزئیات کامل مطرح کنید.

            دیدگاه


              #7
              پاسخ : دستور تاخیر

              همه گزینه ها لازم نمیشه.
              Feedback Source: گزینه Internal رو انتخاب کن تا خروجی های بالای ClkFx فعال بشن و بتونی انتخاب کنی که از روی اسمشون مشخصه چی هستن، مقدار زاویه بعد از Clk اختلاف فاز با ورودی هست، Clk2X فرکانسش دو برابر ورودی هست و ClkDiv هم اگه فعال کنی با انتخاب مقدار تقسیم میتونی مشخص کنی که کلاک ورودی بر چه مقداری تقسیم بشه تا این کلاک به دست بیاد. ClkFx هم میتونی هر مقداری دوست داری بدی تا با استفاده از DPLL داخلی که از یک ضرب کننده صحیح و یک تقسیم کننده فرکانس تشکیل شده ساخته بشه که در صفحه بعد مشخص میشن وحداقل و حداکثر ممکن فرکانس و مقدار jitter کلاک خروجی رو هم نسبت به کلاک ورودی محاسبه می کنه.
              اطلاعات بیشتر: کلیک روی More Info
              لطفاً برای انجام پروژه های دانشجویی پیام خصوصی نفرستید.
              لطفاً سؤالاتی که در انجمن قابل طرح شدن هستند پیام خصوصی نکنید.
              با تمام وجود گناه کرديم اما نه نعمتش را از ما گرفت نه گناهان ما را فاش کرد اطاعتش کنيم چه مي کند؟"دکتر شريعتي"
              اگر جايي که ايستاده ايد را نمي پسنديد، عوضش کنيد شما درخت نيستيد!! "پاسكال"
              يا به اندازه ي آرزوهايت تلاش کن يا به اندازه تلاشت آرزو کن. "شکسپير"

              دیدگاه

              لطفا صبر کنید...
              X