اطلاعیه

Collapse
No announcement yet.

مشکل اتصال میکرو به رله

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    مشکل اتصال میکرو به رله

    سلام من یه فرستنده گیرنده درست کردم
    کاملآ درست کار میکنه اگر پایه خروجی رو به led وصل کنم وقتی پالس میفرستم led روشن میشه
    الان ورودی رو 12 کردم و با 7805 ولتاژ ای سی رو با 5 ولت تغذیه میکنم که تا اینجای کار بازم هیچ مشکلی نیست و باز هم اگر پالس بفرستم led روشن میشه
    فقط نمیدونم چرا وقتی پایه رو به صورت زیر به رله وصل میکنم رله روشن نمیشه

    برای اتصال پایه به بیس هم انواع مقاومت ها رو امتحان کردم ترانزیستورمم bd139 هستش
    وقتی مقاومت 560 اهم میزارم led روشن میشه و وقتی پالس میفرستم led کم نور میشه در واقع برعکس عمل میکنه
    وقتی 4.7 یا 10 کیلو قرار میدم وقتی پالس میفرستم led روشن نمیشه و وقتی پالس قطع میشه از ولتاژ برگشتی سلف یه لحظه با نور خیلی کم روشن میشه
    در هیچ کدوم این حالت ها هم رله روشن نمیشه
    رله 12 ولت هستش
    لطفآ کمک کنید ممنون

    اضافه شده در تاریخ :
    از اپتوکوپلر استفاده کردم خوب شد ولی خوب اگر کسی میتونه در مورد اتصال مستقیم میکرو به ترانزیستور توضیح بده آخه قبلآ هم از این مشکلا داشتم
    [آموزشی] کنترل رله با پیامک توسط sim800l
    [آموزشی] راه اندازی سنسورهای DHT11 و DHT22 با کدویژن
    [آموزشی] مدار عملی اتصال میکروفن خازنی به میکروکنترلر
    [آموزشی] آموزش نحوه راه اندازی میکروهای fmd
    صفحه اینستاگرام : ecdco_ir


    #2
    پاسخ : مشکل اتصال میکرو به رله

    سلام دوست گرامی

    مقاومت R5 رو به 1 کیلواهم تغییر بده.به احتمال خیلی زیاد مشکلت حل میشه.

    خوب و خوش باشی

    دیدگاه


      #3
      پاسخ : مشکل اتصال میکرو به رله

      سلام
      مقاومت R4 رو به یک کیلو تغییر بده. راستی ترانزیستور های ضعیف تر از BD139 هم جواب کارتون رو میدن مثل C945 . این یه تیکه رو همینطوری گفتم

      -----------------------------------------------------------------------------------------------------

      با عرض پوزش. متن سوالتون رو کامل نخونده بودم. مثل اینکه گفتید مقاومت بیس رو تغییر دادید و جواب نگرفتید.

      دیدگاه


        #4
        پاسخ : مشکل اتصال میکرو به رله

        مقاومت r4 رو 2.2 تا 1.2کیلو بذار،ترانزیستورت باید تو اشباع بره.r5 هم 5 کیلو بذار،اگه جواب نداد کلکتور رو با 10 کیلواهم pull up کن

        دیدگاه


          #5
          پاسخ : مشکل اتصال میکرو به رله

          دوست عزیز همیشه تو این موارد از اپتو استفاده کن چون نویز رله باعث هنگ میکرو و خوب کار نکردن میشه بعدشم از دایرلینگتون استفاده کن یعنی دوتا از این ترانزیستور هارو پشت سر هم متصل کن تا جریان بیشتری داشته باشی
          دیود نوری خودتو هم به اون کلیدی که رله بسته میشه با یک مقاومت ۲۲۰ اهم سری کن اونجوری بهتره چون در دوسر رله افت ولتاژ زیادی رخ نمیده .
          :redface:

          دیدگاه


            #6
            پاسخ : مشکل اتصال میکرو به رله

            یه نظ قدیمی بدم یکم یخندیم
            اگر از 8051 بعنوان میکرو استفاده میکنی برای روشن کردن ، وقتی خروجی یک میشه قدرت نداره
            و نمیتونه جریان زیادی بده چون با پول په تازه پورت 0 هم پول پ خارجی لازم داره
            معمولا با 0 خروجی رو راه میندازن و جریان میکشن
            شما نوع میکرو رو مشخص نکردی منم گفتم خاطره بگم از قدیما دور هم باشیم
            ضمنا خروجی بودن پورت را چک بفرماااااااا

            دیدگاه


              #7
              پاسخ : مشکل اتصال میکرو به رله

              سلام
              دوستان همه چیزو گفتن ولی منم برای اینکه نخودی تو آش بندازم اومدم
              چند دلیل ممکنه وجود داشته باشه
              1 بتای ترانزیستور پایینه که با دارلینگتون حل میشه
              2 تغذیه مشکل داره که بهتربن راه حل اینه که تغذیه رله (کلکتور ترانزیستور) رو از تغذیه میکرو جدا کنی برای این کار میتونی برای رله از یه تغذیه کاملا مجزا که زمینش با زمین میکرو یکه شده استفاده کنی که کار جالبی نیست . یا اینکه تغذیه رله رو از قبل رگلاتور بگیری که نسبتا روش خوبیه ضمنا رگلاتورت هم داغ نمیکنه مشکلی هم نداره چون رله ولتاژش یه کم ریپل داشته باشه چیزی نمیشه
              3 میکرو قابلیت جریاندهی نداره این مشکل توی میکروهای avr نیست بیشتر تو 8051 هست که یک راه حل ساده اینه که خروجی رو به جای یک صفر بدی و برای اینکه مدارت تغییر نکنه یک ترانزیستور pnp استفاده کن امیترشو به vcc و کلکتورشو به بیس مدارت وصل کن
              موفق باشید :job:

              دیدگاه


                #8
                پاسخ : مشکل اتصال میکرو به رله

                نوشته اصلی توسط reza0506 نمایش پست ها

                سلام دوستان داشتم همینجوری میچرخیدم تو تاپیک ها که* به این مدار
                برخوردم . اون دیود هرزگرد d4 چه زمانی در بایاس مستقیم قرار میگیره ؟ همیشه ولتاژ ۱۲ ولت روی کاتدش هست برای این که بره تو بایاس مستقیم حداقل ۱۲.۷ ولت باید روی اندش باشه . پس بنابراین هیچوقت تو بایاس مستقیم نمیره . درسته ؟ اگه درسته پس به چه درد میخوره ؟

                دیدگاه


                  #9
                  پاسخ : مشکل اتصال میکرو به رله

                  نوشته اصلی توسط RAMONA نمایش پست ها
                  سلام دوستان داشتم همینجوری میچرخیدم تو تاپیک ها که* به این مدار
                  برخوردم . اون دیود هرزگرد d4 چه زمانی در بایاس مستقیم قرار میگیره ؟ همیشه ولتاژ ۱۲ ولت روی کاتدش هست برای این که بره تو بایاس مستقیم حداقل ۱۲.۷ ولت باید روی اندش باشه . پس بنابراین هیچوقت تو بایاس مستقیم نمیره . درسته ؟ اگه درسته پس به چه درد میخوره ؟
                  سلام اسم این دیود دیود هرزگرد هستش وقتی بوبین برقش قطع.میشه یه ولتاژ زیادی تولید می.کنه که این دیود میتئنه خنثی کنه
                  اگر خنثی نشه ترانزیستور پس از.چند بار سوئیچ کردن خواهد سوخت
                  موفق باشید
                  Proudly @real_ahmadi
                  #real_ahmadi

                  واسه اسمت بجنگ

                  دیدگاه


                    #10
                    پاسخ : مشکل اتصال میکرو به رله

                    نوشته اصلی توسط مهندس احمدی نمایش پست ها
                    سلام اسم این دیود دیود هرزگرد هستش وقتی بوبین برقش قطع.میشه یه ولتاژ زیادی تولید می.کنه که این دیود میتئنه خنثی کنه
                    اگر خنثی نشه ترانزیستور پس از.چند بار سوئیچ کردن خواهد سوخت
                    موفق باشید
                    سلام ممنون از جوابتون ، بله میدونم دیود هرزگرد هست و کارش تخلیه پسماند مغناطیسی داخل بوبین رله هست، اما این قسمت رو متوجه نشدم بوبین رله ولتاژ تولید میکنه ؟ یعنی بیشتر از ۱۲ ولت ؟

                    دیدگاه


                      #11
                      پاسخ : مشکل اتصال میکرو به رله

                      سلام
                      بیشتر از 12 ولت تولید نمیکنه،درواقع جریان تولید میکنه اما جهت جریان طبق قانون لنز برعکس میشه،یعنی همجهت با دیود که این جریان توسط دیود تخلیه میشه
                      [آموزشی] کنترل رله با پیامک توسط sim800l
                      [آموزشی] راه اندازی سنسورهای DHT11 و DHT22 با کدویژن
                      [آموزشی] مدار عملی اتصال میکروفن خازنی به میکروکنترلر
                      [آموزشی] آموزش نحوه راه اندازی میکروهای fmd
                      صفحه اینستاگرام : ecdco_ir

                      دیدگاه


                        #12
                        پاسخ : مشکل اتصال میکرو به رله

                        نوشته اصلی توسط reza0506 نمایش پست ها
                        سلام
                        بیشتر از 12 ولت تولید نمیکنه،درواقع جریان تولید میکنه اما جهت جریان طبق قانون لنز برعکس میشه،یعنی همجهت با دیود که این جریان توسط دیود تخلیه میشه
                        سلام ، ممنون ، اما وقتی دیود تو بایاس معکوس هست چطوری جریان عبور میکنه ، مگر نه اینکه دیود زمانی تو بایاس مستقیم قرار میگیره که* ولتاژ اند به کاتدش بیشتر از هفت دهم ولت باشه ؟؟

                        دیدگاه


                          #13
                          پاسخ : مشکل اتصال میکرو به رله

                          نوشته اصلی توسط reza0506 نمایش پست ها
                          سلام
                          بیشتر از 12 ولت تولید نمیکنه،درواقع جریان تولید میکنه اما جهت جریان طبق قانون لنز برعکس میشه،یعنی همجهت با دیود که این جریان توسط دیود تخلیه میشه
                          سلام ، ممنون از توجهتون , اما وقتی دیود تو بایاس معکوس هست چطور جریان عبور میکنه ؟ مگر نه اینکه دیود زمانی تو بایاس مستقیم قرار میگیره که* ولتاژ اند به کاتدش بیشتر از هفت دهم ولت باشه ؟

                          دیدگاه

                          لطفا صبر کنید...
                          X