اطلاعیه

Collapse
No announcement yet.

سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

Collapse
X
 
  • فیلتر
  • زمان
  • Show
Clear All
new posts

    سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

    سلام
    من همون طور که در شکل زیر میبینید سیگنال ها و متغییر ها رو به بایه های تراشه انتساب دادم ولی سوالم اینه که(عکس زیر-سمت چپ پایین)
    فقط کافیه جلوی هر سیگنال شماره پایه رو بنویسیم....مثلا برا سیگنال a بنویسیم p12 ....آیا این کار کافیه یا باید ولتاژ رو هم تعیین کنیم و خونه های دیگه جدول رو هم پر کنیم؟؟؟؟؟

    اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

    اگه دوس دارید سریع به جواب برسید :
    1) قبل از پرسیدن سوال، بسرچید.
    2) سوالاتون رو با جزئیات کامل مطرح کنید.

    #2
    پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

    قدیما که توی دانشگاه با برد آموزشی کار میکردیم، ما فقط شماره پایه ها رو تعیین میکردیم.
    راستی من میخوام برای کار خودم پروگرمر بگیرم. شما چی پیشنهاد میکنید؟
    گفت که دیوانه نهی لایق این خانه نهی

    دیدگاه


      #3
      پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

      نوشته اصلی توسط Finder_
      قدیما که توی دانشگاه با برد آموزشی کار میکردیم، ما فقط شماره پایه ها رو تعیین میکردیم.
      راستی من میخوام برای کار خودم پروگرمر بگیرم. شما چی پیشنهاد میکنید؟
      والا من دو تا برد آموزشی دارم...یکی چینی و یکی ایرانی
      سایت آفتاب رایانه دو 3 تایی داره.....فقط قبل خرید اینجا مطرح کن تا یه چند تا نکته رو بهت بگم
      اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

      اگه دوس دارید سریع به جواب برسید :
      1) قبل از پرسیدن سوال، بسرچید.
      2) سوالاتون رو با جزئیات کامل مطرح کنید.

      دیدگاه


        #4
        پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

        نوشته اصلی توسط md3848
        سلام
        من همون طور که در شکل زیر میبینید سیگنال ها و متغییر ها رو به بایه های تراشه انتساب دادم ولی سوالم اینه که(عکس زیر-سمت چپ پایین)
        فقط کافیه جلوی هر سیگنال شماره پایه رو بنویسیم....مثلا برا سیگنال a بنویسیم p12 ....آیا این کار کافیه یا باید ولتاژ رو هم تعیین کنیم و خونه های دیگه جدول رو هم پر کنیم؟؟؟؟؟
        ولتاژ بانکی که پین مورد نظرتون در اون قرار گرفته رو باید تعین کنید. پین هایی که توی یک بانک هستند مسلما ولتاژشون یکی باید باشه. خونه های دیگه نیازی نیست. ولتاژ بانکها رو از روی شماتیک بوردتون پیدا کنید.

        دیدگاه


          #5
          پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

          داداش یکم بیشتر توضیح میدی......
          اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

          اگه دوس دارید سریع به جواب برسید :
          1) قبل از پرسیدن سوال، بسرچید.
          2) سوالاتون رو با جزئیات کامل مطرح کنید.

          دیدگاه


            #6
            پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

            نوشته اصلی توسط md3848
            داداش یکم بیشتر توضیح میدی......
            کجاش رو توضیح بدم؟ برو توی شماتیک ببین پین مورد نظرت توی کدوم بانک هستش. بعد فرضا بانک 2 بود. حالا توی همون شماتیک یه بلوکی هست که پین تغذیه همه بانکها اون تو جمع شده از اونجا ببین تغذیه بانکت به چه ولتاژی وصل شده. مثلا فرض کن 2.5 بود حالا شما باید توی فایل ucf بنویسی :
            کد:
            NET "pin_name" LOC = AE13 | IOSTANDARD = LVCMOS25;

            دیدگاه


              #7
              پاسخ : سوال در انتساب سیگنال ها به پایه های تراشه FPGA ????????

              والا نفهمیدم چرا دروغ بگم(البته برا شما که کار کردید شاید ساده باشه ولی برا من..)

              الان من سوالم اینه که اون گزینه های I/O STD (عکس بالا....سمت چپ و پایین عکس) رو چطوری از بین شونصد تا گزینه ای که دارI انتخاب کنم......فرق گزینه های تویش با هم چیه؟؟

              اون طوری که تو کتاب خوندم نوشته بود استاندارد های ولتاژ و جریان.......ولی نمیدونم فرقشون با هم چیه و کی و کجا باید کد.مش رو انتخاب کنم.....


              البته دوستان اگه لطف کنن کتاب چیزی هم دارن بدن ما بخونیم ^_^.
              اللَّهُمَّ صَلِّ عَلَى مُحَمَّدٍ وآلِ مُحَمَّدٍ وعَجِّلْ فَرَجَهُمْ

              اگه دوس دارید سریع به جواب برسید :
              1) قبل از پرسیدن سوال، بسرچید.
              2) سوالاتون رو با جزئیات کامل مطرح کنید.

              دیدگاه

              لطفا صبر کنید...
              X